您现在正在浏览:首页 > 职教文章 > 职教论文 > 基于VHDL语言设计的步进电机控制器

基于VHDL语言设计的步进电机控制器

日期: 2010-4-11 4:08:11 浏览: 6 来源: 学海网收集整理 作者: 佚名

摘要:提出了一种利用VHDL语言设计步进电机控制器的思路。该控制器能实现速度控制、工作方式选择等多种功能。详细讨论了该控制器的结构、各模块的功能及仿真分析结果。结果表明:该系统具有修改方便、使用灵活、可靠性高、可移植性强等优点。
   关键字:VHDL;步进电机;脉冲分配器;仿真。
   Abstract: A realization scheme of control circuit based on VHDL for stepper motor is presented.The control can realize functions of speed control and work model selection etc.Then the framework, the function of each module and design optimization,synthesis and simulation about the program are discussed in detail.The system has the features of easy modification,good flexibility,high reliability and powerful transplantable capability.
   Key words:VHDL;stepper motor;pulse distributor;simulation.
   引言
   随着电子技术的发展,现场可编程门阵列FPGA和复杂可编程逻辑器件CPLD的出现,使得电子系统的设计者利用与器件相应的电子设计软件,在实验室里就可以设计自己的专用集成电路ASIC器件。其中电子设计自动化(EDA)的关键技术之一就是可以用硬件描述语言(HDL)来描述硬件电路。VHDL是用来描述从抽象到具体级别硬件的工业标准语言,它是由美国国防部在2O世纪8O年代开发的HDL,现在已成为IEEE承认的标准硬件描述语言。VHDL支持硬件的设计、验证、综合和测试,以及硬件设计数据的交换、维护、修改和硬件的实现,具有描述能力强、生命周期长、支持大规模设计的分解和已有设计的再利用等优点。利用VHDL这些优点和先进的EDA工具,根据具体的实际要求,本文设计了一个步进电机控制器电路。步进电机是一种将电脉冲转化为角位移的执行机构。也就是当步进驱动器接收到一个脉冲信号时,它就驱动步进电机按设定的方向转动一个固定的角度,它的旋转是以固定的角度一步一步运行的。现场可编程门阵列(FPGA)是对步进电机实现一体化控制的理想选择。本文主要介绍了利用VHDL语言设计的三相反应式步进电机驱动电路的设计思路。现场可编程门阵列(FPGA)将接口电路送来的一系列信号转换成步进电机的驱动脉冲,经过功率放大后送给步进电机,以此控制步进电机的转动方向和速度,其电路原理图如图1所示。
   ......

返回顶部