您现在正在浏览:首页 > 职教文章 > 职教论文 > 基于VHDL实现多路彩灯控制器设计应用

基于VHDL实现多路彩灯控制器设计应用

日期: 2011-4-26 17:19:36 浏览: 0 来源: 学海网收集整理 作者: 佚名

摘要:设计一个彩灯控制程序器。可以实现四种花型循环变化,有复位开关。整个系统共有三个输入信号CLK,RST,Sel,八个输出信号控制八个彩灯。时钟信号CLK脉冲由系统的晶振产生。各种不同花样彩灯的变换由Sel控制.此彩灯控制系统设定有四种花样变化,这四种花样可以进行切换,四种花样分别为:
   (1) 彩灯从左到右逐次闪亮。
   (2)彩灯从左向右逐次点亮,且亮后不熄灭。
   (3) 彩灯两边同时亮两个,然后逐次向中间点亮。
   (4) 全部彩灯亮与熄灭交替。
   Abstract: The design of a control program Lantern device. Four kinds of flowers can be achieved circulation change, reset switch. The whole system has three input signals CLK, RST, Sel, 8 output signal control 8 lights. The clock signal CLK pulses generated by the system of the crystal. Lanterns of different patterns of transformation controlled by Sel. The lights control system configuration there are four patterns change, four kinds of patterns can be switched, four kinds of patterns are as follows:
   (1) successive flashing lights from left to right.
   (2) successive lantern lit from left to right, and the light is not extinguished after.
   (3) The two bright lights on both sides at the same time, and then successively to the center light.
   (4) All lights turn bright and quenching
   在日常生活中,为了增加聚会或是过节氛围,经常要使用到彩灯循环点亮。单一的循环可能无法起到理想的效果,通过不同花样的变换则能够很好的完成任务。多路彩灯循环控制可以有多种实现方法,例如利用单片机可以实现,利用数字电路时序逻辑也可以实现。我们这里利用VHDL语言实现对多路彩灯的控制。
   彩灯的一种点亮方式经过足够长的延时后,转变为了其他的点亮方式。这就需要一个CLK信号完成,CLK信号可以由晶体振荡器或者是振荡电路产生。至于各种不同花样彩灯的变换,我们可以通过增加输入信号Sel来控制。Sel为两位二进制数,分别为00,01,10,11,四种状态对应四种花样。同时系统还需一个复位信号实现对电路的清零控制。输出八位彩灯,我们这里用LED发光二极管代替。当电路输出为“1”时,LED点亮。当电路输出为“0”时,LED熄灭。
   利用VHDL语言实现该功能程序如下:
   .....

返回顶部