您现在正在浏览:首页 > 职教文章 > 职教论文 > 基于VHDL的16路可调速彩灯控制器设计

基于VHDL的16路可调速彩灯控制器设计

日期: 2011-1-8 18:21:19 浏览: 0 来源: 学海网收集整理 作者: 张喜凤

摘􀀁 要: 彩灯作为一种常见的装饰, 在生活中应用广泛。为了使彩灯变得更加绚丽多彩, 这里在Quart us 􀀂 开发环境下,用VH DL 语言设计了一种可用于控制16 路彩灯, 具有4 种彩灯变换模式, 且变换速度可调的彩灯控制器。仿真结果表明,所设计的彩灯控制器成功地实现了4 种变换模式的循环和各种变换速度的调节。最后, 以ACEX1K 系列EP1K30QC208 芯片为硬件环境, 验证了各项设计功能的正确性。
   关键词: VHDL; 彩灯控制器; 变换模式; EP1K30QC208
  
   Abstract: The FPGA/ CPLD is applied in digital system development ex tensively wit h the development of larg e scale inte􀀁
   gr ated circuit. Illuminatio ns w hich ar e common decor atio ns have a br oad application in daily life. In o rder to make the illumi􀀁
   nat ions colo rful, a switch speed adjust able co nt roller w hich can co ntr ol 16 g ro ups o f illuminations and has 4 sw itch patterns
   w as desig ned with the lang uage of VH DL in t he envir onment o f Quar tus II. The simulatio n r esult s indicate that the designed
   contr oller r ealized the cycle o f 4 sw itch pat terns and all sor ts o f speeds adjust able. The validity of w hole designed funct ions is
   ver ified w ith the EP1K30QC208 chip o f ACEX1K ser ies.
   Keywords: VH DL; illuminations; contro ller; sw itch mode; EP1K30QC208

返回顶部