在所有资源中搜索《vhdl》,共找到 113 条记录
请输入搜索关键字:
小贴士:找不到满意的资料?点击这里百度一下,说不定有你想要的资料哦!或者也可以找QQ客服寻求帮助。
2008/11/30 19:13:25 杭州电子科技大学学生考试EDA技术与VHDL(B)卷(有答案)
共有3人下载
杭州电子科技大学学生考试EDA技术与VHDL(B)卷 一、选择题:(20分) 1. 大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:_______ A. CPLD是...
2007/8/16 22:13:23 杭州电子科技大学2004-2006年学生考试EDA技术与VHDL试卷(共7份,5份有答案)
共有10人下载
杭州电子科技大学2005年学生考试EDA技术与VHDL试卷(A)卷 一、单项选择题:(20分) 1. 大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是_______。 ...
2006/10/23 21:33:01 《EDA技术》实验指导书(基于VHDL语言,含源程序)
共有11人下载
《EDA技术》实验指导书(基于 VHDL 语言,含源程序) 目录 NH-TIV型 EDA实验开发系统...........................................................
2006/8/30 23:52:37 长沙民政学院2005年下学期期末试卷(A)《CPLD与VHDL》
共有0人下载
长沙民政学院2005年下学期期末试卷(A) 考试科目:《CPLD与VHDL》 考试方式:笔试、闭卷 一、填空题:(每空1分,共20分) 1. EDA技术的发展分为 、 、 三个阶段。 2. 国际上生...
2009/7/30 2:41:48 EDA技术丛书——VHDL实用教程
共有4人下载
EDA技术丛书——VHDL实用教程 内容 简 介 本书比较系统地介绍了VHDL 的基本语言现象和实用技术全书以实用和可操作为基点简洁而又不失完整地介绍了VHDL 基于EDA 技术的理论与实践方面的知识其中包括VH...
2009/5/24 7:01:39 EDA技术与VHDL课件
共有0人下载
EDA技术与VHDL课件 第一章_概述 第二章 PLD硬件特性 第三章 VHDL基础 第四章 VHDL状态机
2007/7/12 20:27:24 VHDL实验讲义
共有4人下载
VHDL实验讲义 第一章 VHDL文本输入设计方法 1.1 编辑输入并存盘VHDL原文件 1.2 将当前设计设定为工程 1.3 选择VHDL文本编译版本号和排错 1.4 时序仿真 1.5 硬件测试 1.6 部分实...
2008/4/3 20:46:52 VHDL教程
共有0人下载
VHDL教程 第一讲 数字集成电路EDA技术的发展 第二讲 模拟集成电路设计自动化的研究工作 第三讲 基于硬件描述语言的数字电路设计方法 第四讲 VHDL语言简介 第五讲 VHDL语言对基本电路行为的描述方法 第...
2007/7/23 14:16:58 VHDL与复杂数字系统设计课件
共有0人下载
VHDL与复杂数字系统设计 第一章 复杂数字系统设计与EDA工具发展趋势 第二章 硬件描述语言VHDL 第三章 设计输入与仿真综合 第四章 EDA技术与可编程ASIC的设计实现 第五章 版图编辑与版图验证 第六章...
2006/3/22 2:13:45 EDA、VHDL多媒体教学讲座3
共有0人下载
EDA、VHDL多媒体教学讲座3。
2009/1/23 10:47:28 VHDL与数字电路设计课件
共有0人下载
VHDL与数字电路设计课件 第一章 VHDL的程序结构和软件操作 第二章 VHDL语言要素 第三章 VHDL顺序语句 第四章 VHDL并行语句 第五章 组合逻辑电路的设计和分析 第六章 时序逻辑电路的设计和分析
2009/4/11 5:40:52 EDA技术与VHDL技术课件
共有0人下载
EDA技术与VHDL技术课件 第1章 概述 第2章 PLD硬件特性与编程技术 第3章 VHDL入门 第4章 QuartuaII的HDL输入设计 第5章 VHDL深入 第6章 图形设计方法 第7章 LPM参数化宏模...
2009/3/2 9:00:48 硬件描述语言VHDL及其应用课件
共有0人下载
硬件描述语言VHDL及其应用课件 一、高层次设计概述 二、如何写优化的VHDL代码 三、设计实例
2009/5/21 7:14:04 数字系统与VHDL程序设计语言课件
共有1人下载
数字系统与VHDL程序设计语言课件 第一章 VHDL的程序结构和软件操作 第二章 VHDL语言要素 第三章 VHDL顺序语句 第四章 VHDL并行语句 第五章 组合逻辑电路的设计和分析 第六章 时序逻辑电路的设计...
2009/11/14 5:56:51 VHDL语言与数字逻辑电路设计课件及实验指导书(含源程序)
共有0人下载
VHDL语言与数字逻辑电路设计课件 第1讲 EDA基础 第2讲 VHDL程序结构 第3讲 数据类型、数据对象、操作符 第4讲 数据对象的属性 第5讲 VHDL顺序语句 第6讲 VHDL并行语句 第7讲 VHDL子...
2009/11/10 21:30:48 数字逻辑设计与VHDL描述课件
共有0人下载
数字逻辑设计与VHDL描述课件 第一章 逻辑代数基础 第二章 组合逻辑电路 第三章 时序逻辑 第四章 可编程逻辑器件 PLD 第五章 VHDL描述组合逻辑电路
2008/11/5 23:42:18 课程设计-基于CPLD的VHDL语言数字钟(含秒表)设计
共有0人下载
课程设计 基于CPLD的VHDL语言数字钟(含秒表)设计,共14页,3134字 利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现...
2009/3/4 3:01:43 《VHDL语言》课程设计报告 设计一个十字路口的交通灯控制系统
共有0人下载
《VHDL语言》课程设计报告 设计一个十字路口的交通灯控制系统,共14页,2871字 目 录 前言:…………………………………………………………………1 一、设计任务:………………………………………………………2...
2009/7/4 0:18:27 课程设计-m序列发生器的VHDL建模与设计
共有15人下载
课程设计 m序列发生器的VHDL建模与设计,共21页,6276字 目 录 一.设计前言 1 二.设计原理及内容 2 2.1 M序列概述 2 2.2设计思路及原理 5 三.电路设计 9 四.设计仿真 10 五.总结...
2009/8/22 9:18:01 课程设计-基于VHDL的乒乓球游戏机
共有4人下载
课程设计 基于VHDL的乒乓球游戏机,共33页,8900字 摘要:本文介绍了乒乓球游戏机的设计,并进行了程序仿真,实现一个乒乓球游戏机的规定功能。设计的乒乓球游戏机能够正确判断与显示乒乓球的位置,并能自动裁判和记...
返回顶部