在所有资源中搜索《vhdl》,共找到 113 条记录
请输入搜索关键字:
小贴士:找不到满意的资料?点击这里百度一下,说不定有你想要的资料哦!或者也可以找QQ客服寻求帮助。
2008/10/25 18:37:58 毕业论文-基于VHDL语言的综合计时系统
共有2人下载
毕业论文 基于VHDL语言的综合计时系统,共32页,8954字 摘要:本文介绍了基于VHDL语言的综合计时系统。该计时系统实现年、月、日、星期以及时间的计时,并通过调整键实现对时间的调整。 关键词:VHDL,计时,...
2008/9/9 16:27:06 毕业设计-基于VHDL的UART设计
共有0人下载
毕业设计 基于VHDL的UART设计,共93页,37448字,附源程序、英文原文及翻译。 1 绪论 21 世纪人类将全面进入信息化社会,对微电子信息技术和微电子 VLSI 基础技术将不断提出更高的发展要求,微电...
2008/7/1 20:52:48 课程设计-基于VHDL的多功能数字钟的设计
共有0人下载
课程设计 基于VHDL的多功能数字钟的设计,共11页,6086字。 摘要:介绍了利用VHDL硬件描述语言设计的多功能数字钟的思路和技巧。在MAX PLUSII开发 环境中编译和仿真了所设计的程序,并在可编程逻辑器件...
2008/10/1 1:00:09 课程设计-应用VHDL设计电子钟
共有0人下载
课程设计 应用VHDL设计电子钟,共19页,5525字 摘要 VHDL是Very High Speed Integrated Circuit Hardware Description Language的缩写,意思是...
2008/5/12 18:06:22 实验论文-基于FPGA的数字秒表的VHDL设计
共有5人下载
实验论文 基于FPGA的数字秒表的VHDL设计 一、试验目的: 数字秒表的逻辑结构比较简单,它主要由、显示译码器、分频器、十进制计数器、报警器和六进制计数器组成。在整个秒表中最关键是如何获得一个精确的100Hz计时...
2008/7/20 12:29:43 课程设计-利用VHDL语言设计的数字钟
共有0人下载
《PLD技术》课程设计 利用VHDL语言设计的数字钟,共10页,1170字 一、题目:数字钟 二、设计目的 掌握各类计数器和分频器以及它们相连的设计方法;掌握多个数码管的原理与方法;掌握CPLD技术的层次化设计的方...
2008/2/20 20:53:30 毕业设计-基于VHDL的数字温度计的设计
共有0人下载
毕业设计 基于VHDL的数字温度计的设计,共28页,6855字,附设计图纸 目录 1 引言………………………………………………………………………………1 2 元器件列表……………………………………………………………...
2008/4/16 8:56:34 毕业论文-基于VHDL的VGA的显示控制设计
共有8人下载
毕业论文 基于VHDL的VGA的显示控制设计(共19页,9043字) 【内容提要】 本文介绍了一种利用可编程器件 FPGA 实现 VGA 接口显示器的 VHDL 设计方案,利用 FPGA 设计 VGA 接口,可以将...
2008/2/8 13:48:09 课程设计-基于VHDL的数字电子闹钟
共有1人下载
课程设计 基于VHDL的数字电子闹钟,共29页,7747字 1、设计目的…………………………………………………… 3 2、设计选题…………………………………………………… 3 3、设计要求…………………………………...
2008/9/28 4:33:44 课程设计-基于VHDL的多功能数字钟的设计
共有0人下载
EDA课程设计 基于VHDL的多功能数字钟的设计,共11页,6086字,附源程序。 摘要:介绍了利用VHDL硬件描述语言设计的多功能数字钟的思路和技巧。在MAX PLUSII开发 环境中编译和仿真了所设计的程序,并...
2009/1/2 16:11:30 毕业设计-基于FPGA的VHDL语言数字钟(含秒表)设计
共有0人下载
毕业设计 基于FPGA的VHDL语言数字钟(含秒表)设计 共13页、1918字 一、功能说明 二、设计方案及原理图 三、设计框图及各模块流程图 四、模块说明(含程序代码) 五 结论与建议 六、参考文献
2009/2/8 18:54:14 毕业设计-用VHDL设计LED汉字滚动显示器
共有1人下载
毕业设计 用VHDL设计LED汉字滚动显示器,共40页,10314字。 摘 要 本文对基于FPGA控制的LED汉字滚动显示器的设计方法进行了探讨。提出了两个实现方案。并对其中一个方案作了详细说明。文中首先介绍了LE...
2008/12/4 15:30:18 毕业设计-VHDL语音数字钟的设计
共有3人下载
毕业设计 VHDL语音数字钟的设计,共20页,8707字 摘 要 VHDL是Very High Speed Integrated Circuit HardwarDescriptionLanguage的缩写,意思是超...
2008/12/27 21:36:43 EDA/VHDL多媒体教学讲座
共有0人下载
EDA/VHDL多媒体教学讲座 一、EDA、EDA技术及其应用与发展 二、硬件描述语言 三、FPGA和CPLD 四、EDA工具软件 五、电子设计竞赛几个实际问题的讨论 六、VHDL语言初步 七、上机实习指导 八、E...
2008/12/21 8:14:21 VHDL语言及其应用课件
共有0人下载
VHDL语言及其应用课件 绪论 第一章 VHDL的程序结构和软件操作 第二章 VHDL语言要素 第三章 VHDL顺序语句 第四章 VHDL并行语句 第五章 组合逻辑电路的设计和分析 第六章 时序逻辑电路的设计和分析...
2008/11/21 5:08:31 VHDL培训教程
共有0人下载
VHDL培训教程 第一讲、VHDL简介及其结构 第二讲、VHDL中的对象、操作符、数据类型 第三讲、VHDL中的控制语句及模块 第四讲、状态机的设计。
2008/7/22 22:17:37 CPLD与VHDL课件
共有0人下载
CPLD与VHDL课件 第一讲 EDA技术概述 第二讲 可编程逻辑器件基础 第三讲 MAX PLUSⅡ安装,CPLD开发流程 第四讲 原理图输入法 第五讲 VHDL语言的基本结构 第六讲 VHDL数据类型及运算操作...
2008/3/15 19:30:22 VHDL教程
共有0人下载
VHDL教程 第一讲 数字集成电路EDA技术的发展 第二讲 模拟集成电路设计自动化的研究工作 第三讲 基于硬件描述语言的数字电路设计方法 第四讲 VHDL语言简介 第五讲 VHDL语言对基本电路行为的描述方法 第六...
2007/4/21 9:00:48 EDA技术与VHDL课件
共有0人下载
EDA技术与VHDL课件 第1章 概述 第2章 PLD硬件特性与编程技术 第3章 VHDL入门 第4章 QuartuaII的HDL输入设计 第5章 VHDL深入 第6章 图形设计方法 第7章 LPM参数化宏模块应用...
2007/5/21 7:56:44 EDA技术与VHDL课件
共有0人下载
EDA技术与VHDL课件。 绪论 第1章 概述 第2章 PLD硬件特性与编程技术 第3章 VHDL基础
返回顶部