在所有资源中搜索《vhdl》,共找到 113 条记录
请输入搜索关键字:
小贴士:找不到满意的资料?点击这里百度一下,说不定有你想要的资料哦!或者也可以找QQ客服寻求帮助。
2009/4/28 23:21:28 课程设计-基于VHDL的三人抢答器
共有0人下载
课程设计 基于VHDL的三人抢答器,共18页,4431字 在很多智力抢答比赛中,为了公平起见一般都需要一个系统来辅助比赛顺利进行。系统应具备了以下功能: (1) 抢答器线路测试功能 为了保证比赛的正常进行,比赛前...
2009/5/16 0:09:40 VHDL 专题--------电子密码锁
共有3人下载
VHDL 专题--------电子密码锁,共17页 一、 设计要求: 设计一个简单的数字电子密码锁,密码为 4 位。 功能: 1、 密码输入:每按下一个键,要求在数码管上显示,并依次左移; 2、 密码清除:清除密...
2009/5/12 4:55:02 课程设计-基于VHDL三层电梯控制器的设计
共有2人下载
西华大学课程设计 基于VHDL三层电梯控制器的设计,共27页,5830字。 1 前言 2 2 设计要求 3 2.1 三层电梯控制器将实现的功能 3 3 总体方案设计 4 3.1 控制器的设计方案 4 3.2 三层...
2009/8/23 17:31:09 VHDL硬件描述语言英文原版电子书
共有14人下载
VHDL硬件描述语言英文原版电子书,共42页
2009/9/26 19:48:09 哈尔滨工程大学VHDL语言及其应用电子书
共有1人下载
哈尔滨工程大学VHDL语言及其应用电子书 第一部分 VHDL综述(1) 第二部分 VHDL语言的学习基础(1) 第三部分 VHDL顺序语句(1) 第四部分 VHDL的模型结构(2) 第五部分 深入理解VHDL(1...
2009/6/14 1:49:24 VHDL电路设计电子书
共有0人下载
VHDL电路设计电子书 第一章 字系统设计概述 第二章 EDA基础 第三章 VHDL设计基础 第四章 VHDL程序基本结构 第五章 VHDL语言的对象和数据类型及运算操作符 第六章 VHDL语言中的顺序语句
2010/1/20 18:01:13 课程设计-VHDL设计贪食蛇游戏
共有0人下载
课程设计 VHDL设计贪食蛇游戏 共18页,4810字。 一.实验任务的概述 本次实验是以学校实验室的MAXⅡ实验板为硬件基础,以Quartus为软件平台的综性实验,笔者选择的是﹤贪食蛇的基本功能实现﹥这个题目....
2010/3/13 5:19:55 毕业设计-基于ISP技术及VHDL语言的数字电子钟设计
共有1人下载
毕业设计 基于ISP技术及VHDL语言的数字电子钟设计 共54页,16132字。 目 录 摘要及关键词………………………………………………………………1 第1章 绪论…………………………………………………………...
2009/4/4 8:49:30 课程设计-基于VHDL的乐曲演奏器设计
共有0人下载
课程设计 基于VHDL的乐曲演奏器设计,共14页,2232字。 摘要:本课程设计是运用VHDL硬件描述语言编写一个乐曲演奏器。本文论述了用VHDL设计乐曲的过程。VHDL为设计提供了更大的灵活性,使程序具有更高的...
2009/7/15 19:59:29 硬件描述语言VHDL及其应用课件
共有0人下载
硬件描述语言VHDL及其应用课件 一、高层次设计概述 二、如何写优化的VHDL代码 三、设计实例
2009/7/1 15:16:05 VHDL与数字电路设计课件
共有0人下载
VHDL与数字电路设计课件 第一章 VHDL的程序结构和软件操作 第二章 VHDL语言要素 第三章 VHDL顺序语句 第四章 VHDL并行语句 第五章 组合逻辑电路的设计和分析 第六章 序逻辑电路的设计和分析
2009/6/5 11:47:25 EDA技术与VHDL语言课件(1-4章)
共有3人下载
EDA技术与VHDL语言课件(1-4章) 第1章 概述 第2章 PLD硬件特性与编程技术 第3章 VHDL基础 第4章 VHDL语句。
2008/2/16 8:25:47 毕业设计-VHDL电路设计
共有0人下载
毕业设计 VHDL电路设计,共26页,6721字 摘要 随着大规模集成电路技术和计算机技术的不断发展,在涉及通信、国防、航天、医学、工业自动化、计算机应用、仪器仪表等领域的电子系统设计工作中EDA技术的含量正以惊人...
2007/11/7 20:03:41 毕业论文-DDS的VHDL语言实现及其高纯谱研究
共有0人下载
毕业论文 DDS的VHDL语言实现及其高纯谱研究,共32页,15169字 内容摘要:频率合成技术在现代电子技术中具有重要的地位。在通信、雷达和导航等设备中,它可以作为干扰信号发生器;在测试设备中,可作为标准信号源,...
2007/7/1 14:50:32 VHDL程序设计教程课件
共有0人下载
VHDL程序设计教程课件 第1章 VHDL的基本概念 第2章 VHDL词法基础 第3章 VHDL语法基础 第4章 VHDL程序设计基础 第5章 VHDL程序设计实践 第6章 用VHDL语言进行集成电路设计。
2006/10/6 17:08:59 EDA技术与VHDL课件——第5章VHDL深入
共有0人下载
EDA技术与VHDL课件 第5章 VHDL深入 5.1 数据对象及其示例说明 5.2 双向和三态电路信号赋值例解 5.3 IF语句概述 5.4 进程语句归纳 5.5 并行语句例解 5.6 仿真延时 习题 实验与设计...
2006/2/20 4:28:20 VHDL设计应用实例
共有0人下载
VHDL设计应用实例。
2007/1/28 0:25:46 EDA课程设计报告-电子钟的VHDL设计
共有4人下载
EDA课程设计报告-电子钟的VHDL设计 实验目的: 1、熟悉MAX plus II的VHDL文本设计流程全过程,学习简单组合电路的设计﹑多层次电路设计﹑仿真和测试。; 2、掌握电子电路一般的设计方法,并了解电子产...
2008/2/22 0:28:23 VHDL语言实验指导书
共有0人下载
VHDL语言实验指导书 实验一 软件环境的熟悉与了解 实验二 基本逻辑门、编译码器的设计 实验三 交通灯控制电路的设计。
2008/1/1 2:55:15 VHDL实验讲义
共有5人下载
VHDL实验讲义 第一章 VHDL文本输入设计方法 1.1 编辑输入并存盘VHDL原文件 1.2 将当前设计设定为工程 1.3 选择VHDL文本编译版本号和排错 1.4 时序仿真 1.5 硬件测试 1.6 部分实验...
返回顶部