在所有资源中搜索《vhdl》,共找到 113 条记录
请输入搜索关键字:
小贴士:找不到满意的资料?点击这里百度一下,说不定有你想要的资料哦!或者也可以找QQ客服寻求帮助。
2010/5/9 20:34:34 数字系统仿真VHDL设计课程实验书(含每个实验的源代码)
共有1人下载
数字系统仿真VHDL设计课程实验书(含每个实验的源代码) 目 录 序 言 1 实验一. 分频器设计 3 实验二. VHDL描述风格比较 8 实验三. 4位可逆计数器,4位可逆二进制代码-格雷码转换器设计 14 实...
2010/5/16 20:18:47 课程设计-基于VHDL数字电子钟的设计和实现
共有0人下载
长沙理工大学课程设计 基于VHDL数字电子钟的设计和实现,共33页,11155字。 摘要:本课程设计完成了数字电子钟的设计,数字电子钟是一种用数字显示秒、分、时的计时装置,由于数字集成电路技术的发展和采用了先进的...
2010/3/28 20:51:01 硬件描述语言VHDL课件
共有0人下载
硬件描述语言VHDL课件,主要内容 一、EDA、EDA技术及其应用与发展 二、硬件描述语言 三、FPGA和CPLD 四、 EDA工具软件 五、VHDL语言 六、上机实习指导 七、EDA实验 八、引脚锁定和优化控制...
2010/4/6 9:54:54 Verilog HDL硬件描述语言课件(含Verilog HDL和VHDL的比较)
共有11人下载
Verilog HDL硬件描述语言课件(含Verilog HDL和VHDL的比较) HDL的来历 整数 if_else语句 gray code (格雷码) 阻塞赋值 条件编译命令 `ifdef、`else 和`e...
2010/3/18 19:09:55 EDA课程设计报告 线性相位FIR滤波器(17阶)的VHDL语言设计
共有10人下载
EDA课程设计报告 线性相位FIR滤波器(17阶)的VHDL语言设计,共16页,4681字 (一)FIR数字滤波器理论简述 有限冲激响应(FIR)数字滤波器和无限冲激响应(IIR)数字滤波器广泛应用于数字信号处理...
2010/3/28 20:59:09 毕业设计-基于VHDL多功能数字频率计的研究
共有0人下载
毕业设计 基于VHDL多功能数字频率计的研究,共33页,11318字。 目录 摘要 1 Abstract 1 1 引言 2 2 多功能数字频率计的总体设计 4 3 vhdl的简述 5 3.1 vhdl的发展 5 ...
2010/4/11 15:22:14 毕业设计-基于VHDL数字频率计
共有0人下载
毕业设计 基于VHDL数字频率计 共44页,11504字。 摘 要 在电子设计领域,随着计算机技术、大规模集成电路技术、EDA(Electronics Design Automation)技术的发展和可编程逻辑器...
2010/5/10 18:05:52 课程设计-基于VHDL电子密码锁
共有1人下载
邵阳学院课程设计 基于VHDL电子密码锁 共18页,3120字。 摘 要 随着电子技术的发展,具有防盗报警、语音提示等功能的电子密码锁代替密码量少、安全性差的机械式密码锁已是必然趋势。目前大部分密码锁采用单片机进...
2010/5/23 16:46:35 课程设计-基于VHDL的8×8位的SDRAM的设计
共有0人下载
武汉理工大学EDA课程设计 基于VHDL的8×8位的SDRAM的设计 共23页,9138字。 目录 摘要…………………………………………………………………………………………………………Ⅰ Abstract…………...
2010/5/24 10:12:38 课程设计-基于VHDL的密码锁的设计
共有0人下载
课程设计 基于VHDL的密码锁的设计,共18页,3102字 摘要 随着电子技术的发展,具有防盗报警、语音提示等功能的电子密码锁代替密码量少、安全性差的机械式密码锁已是必然趋势。目前大部分密码锁采用单片机进行设计,...
2010/5/24 14:17:03 课程设计-交通灯VHDL的设计
共有0人下载
课程设计 交通灯VHDL的设计,共11页,2146字,附模块CORNB,模块CORNA,主程序模块 一、设计目的及要求: 设计目的:模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿LED作为交通信号...
2010/4/5 13:16:08 VHDL实验报告与代码(共29页)
共有4人下载
VHDL实验报告与代码(共29页) 实验一. 分频器设计 实验二. VHDL描述风格比较 实验三. 4位可逆计数器,4位可逆二进制代码-格雷码转换器设计 实验四. 7段数码管译码器设计与实现 实验五. 状态机代码...
2010/3/25 20:09:47 VHDL语言与数字集成电路设计课件
共有13人下载
VHDL语言与数字集成电路设计课件 概述 数字集成电路的电路单元结构(CMOS电路) 基本电路单元与结构优化 数字集成电路的设计形式 数字集成电路的设计流程 逻辑综合 VHDL硬件描述语言 Very high s...
2010/4/18 21:18:17 基于VHDL的复杂可编程逻辑器件(CPLD)应用技术课件
共有0人下载
基于VHDL的复杂可编程逻辑器件(CPLD)应用技术课件 绪论 第一章 VHDL基本结构 第二章 VHDL语言元素 第三章 VHDL的描述风格 第四章 VHDL的主要描述语句 第五章 组合逻辑电路设计 第六章 时...
2009/12/15 22:50:42 VHDL语言与FPGA设计技术实验
共有0人下载
VHDL语言与FPGA设计技术实验 1实验电路结构图 2位全加器原理图输入设计 3原理图输入设计8位全加器 4-VHDL文本输入设计方法初步 5段数码显示译码器设计 6位十进制频率计设计 7数控分频器的设计 8用...
2009/12/10 21:39:47 杭州电子科技大学试卷
共有1人下载
杭州电子科技大学﹤EDA技术与VHDL﹥试卷 一、选择题:(20分) 1.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:_______ A. CPLD是基于查找表结...
2009/8/3 22:25:51 VHDL教案
共有0人下载
VHDL教案 第一章 绪论 第二章 基本结构 第三章 VHDL的数据类型及运算操作符 第四章 VHDL的主要描述语句 第五章 MAX plusII使用 第六章 VHDL程序设计 第七章 仿真与逻辑综合
2009/5/15 22:23:46 杭州电子科技大学EDA技术与VHDL考试卷(有答案)
共有6人下载
杭州电子科技大学EDA技术与VHDL考试卷(有答案) 1. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。A...
2009/12/7 20:16:44 杭州电子科技大学EDA技术与VHDL学生考试卷
共有3人下载
杭州电子科技大学EDA技术与VHDL学生考试卷 一、选择题:(20分) 1.下列是EDA技术应用时涉及的步骤: A. 原理图/HDL文本输入; B. 适配; C. 时序仿真; D. 编程下载; E. 硬件测试; ...
2009/10/9 20:50:17 常州工学院EDA技术与VHDL考试试题(含答案、共7份)
共有10人下载
常州工学院EDA技术与VHDL考试试题(含答案、共7份) 一、单项选择题:(20分) 1. 大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是_______。 A. CP...
返回顶部