您现在正在浏览:首页 > 论文 > 电子电工 > 毕业设计-基于CPLD的串行接口芯片

免费下载毕业设计-基于CPLD的串行接口芯片

  • 资源类别:论文
  • 资源分类:电子电工
  • 适用专业:通信工程
  • 适用年级:大学
  • 上传用户:1q2w3er4
  • 文件格式:word
  • 文件大小:70.25KB
  • 上传时间:2009-5-29 4:56:39
  • 下载次数:1
  • 浏览次数:69

安全检测:瑞星:安全 诺顿:安全 卡巴:安全

资料简介
毕业设计 基于CPLD的串行接口芯片,共63页,16945字,附SCI内部结构框图、英文资料及翻译。
前 言
随着多微机系统的应用和微机网络的发展,通信功能越来越显得重要。通信是指计算机与外界的信息交换。因此,通信既包括计算机与外部设备之间,也包括计算机和计算机之间的信息交换。由于串行通信所用的传输线少,并且可以借助现存的电话网进行信息传送,因此,特别适合于远距离传送。对于那些与计算机相距不远的人机交互设备和串行存储的外部设备(磁盘等),采用串行方式交换数据也很普遍。
采用VHDL硬件描述语言和可编程逻辑器件CPLD设计的可编程串行接口芯片可以实现数据的串行传送。它的优点就在于它是由可编程逻辑器件CPLD构成的。CPLD是在可编程专用集成电路(ASIC)的设计基础上发展起来的,是标准的大规模集成产品,可以用于设计用户所需要的数字逻辑电路,而且便于擦除、修改,可重复编程,使用灵活。近年来,CPLD的集成度、工作速度不断提高,包含的资源越来越丰富,可实现功能越来越强大,具有静态可重复编程或在线动态重构的特性,使得硬件功能可以像软件一样通过编程来修改,不仅使设计修改变得十分方便,而且大大提高了电子系统的灵活性和通用能力,已成为当今实现电子系统集成化的重要手段。
第一章 VHDL硬件描述语言及isp Design Expert 介绍
1━1 VHDL硬件描述语言简介
VHDL硬件描述语言的英文全文是Very High Speed Integrated Circuit Hardware Description Language,即超高速集成电路硬件描述语言,简称VHDL语言。使用VHDL语言可以实现数字电子系统的行为级描述,RTL(寄存器传输级)描述及机构级描述。而用于模拟电子系统设计或数字模拟混合的电子系统设计的硬件描述语言正在研发中。当前,在国内外应用的硬件描述语言有许多种,其中应用最广泛的有VHDL语言和Verilog语言。
VHDL语言功能强大,1987年IEEE将其作为第一个工业标准版本公布,其版本为 VHDL IEEE1076-1987,经过几年的修订和扩展,1993年IEEE有公布了VHDL的新版本,即VHDL IEEE1076-1993,VHDL语言不但适应了当今电子设计自动化技术的飞速发展,反过来,还变革性的促进了电子设计自动化技术的进步。有了VHDL 这一功能强大的硬件描述语言,电子系统的硬件设计软件化真正成为现实。
......
资料文件预览
共1文件夹,1个文件,文件总大小:227.50KB,压缩后大小:70.25KB
  • 毕业设计-基于CPLD的串行接口芯片
    • Microsoft Word文档基于CPLD的串行接口芯片.doc  [227.50KB]
下载地址
资料评论
注意事项
下载FAQ:
Q: 为什么我下载的文件打不开?
A: 本站所有资源如无特殊说明,解压密码都是www.xuehai.net,如果无法解压,请下载最新的WinRAR软件。
Q: 我的学海币不多了,如何获取学海币?
A: 上传优质资源可以获取学海币,详细见学海币规则
Q: 为什么我下载不了,但学海币却被扣了?
A: 由于下载人数众多,下载服务器做了并发的限制。请稍后再试,48小时内多次下载不会重复扣学海币。
下载本文件意味着您已经同意遵守以下协议
1. 文件的所有权益归上传用户所有。
2. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
3. 学海网仅提供交流平台,并不能对任何下载内容负责。
4. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
5. 本站不保证提供的下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
返回顶部