您现在正在浏览:首页 > 论文 > 电子电工 > 毕业设计-基于verilog语言交通灯控制器的设计

免费下载毕业设计-基于verilog语言交通灯控制器的设计

  • 资源类别:论文
  • 资源分类:电子电工
  • 适用专业:电子设计自动化
  • 适用年级:大学
  • 上传用户:荣耀峰峰11
  • 文件格式:word+PPT
  • 文件大小:1.14MB
  • 上传时间:2016-3-18 3:02:21
  • 下载次数:0
  • 浏览次数:23

安全检测:瑞星:安全 诺顿:安全 卡巴:安全

资料简介
本科毕业设计-基于verilog语言交通灯控制器的设计,共25页,13872字符数(不计空格),附开题报告、任务书、论文正文、答辩PPT、外文翻译、Verilog程序源代码
中英文翻译 The serial controller design based on Verilog(基于Verilog的串口控制器设计)

一、毕业设计的要求和内容(包括原始数据、技术要求、工作要求)
采用EDA方法,详细论述使用Verilog语言设计交通灯控制器的方法,并给出程序,经过仿真后,在FPGA中实现,采用这样的设计可以简化硬件的开发和制造过程,而且使硬件体积大大缩小,不必修改硬件电路,只需修改Verilog源程序,实现数字系统硬件的软件化。
设计内容:
设计一个十字路口的交通灯,有主干道A和支干道B四个方向。
设计要求:
有AR(A红)、AY(A黄)、AG(A绿)、ALR(A左拐红)、ALY(A左拐黄)、ALG(A左拐绿)、BR(B红)、BY(B黄)、BG(B绿)、BLR(B左拐红)、BLY(B左拐黄)、BLG(B左拐绿)12盏交通灯需要控制;
交通灯由绿→红有4秒黄灯亮的间隔时间,由红→绿没有间隔时间;
系统有ARALRBGBLR、ARALRBYBLR、ARALRBRBLG 、ARALRBRBLY、AGALRBRBLR、AYALRBRBLR、ARALGBRBLR、ARALYBRBLR 八个状态;


摘要:现代城市在日常运行控制中,越来越多的使用红绿灯对交通进行指挥和管理。而一套完整的交通灯控制系统通常要实现自动控制和手动控制去实现其红绿灯的转换。基于 FPGA 设计的交通灯控制系统电路简单、可靠性好。本设计利用 Verilog 语言、采用层次化混 合输入方式,可控制 4 个路口的红、黄、绿、左转四盏信号灯,让其按特定的规律进行变化。在 QUARTUSⅡ下对系统进行了综合与仿真。仿真结果表明系统可实现十字路口红绿灯及左转弯 控制和倒计时显示。

关键词:交通灯自动控制, Quartus Ⅱ,Verilog

Based on verilog language traffic lights the controllerdesign
Professional: electronic and information engineering Student id: 7020908114
The student’s name: FuBin Teacher: TaoLing

Abstract:The modern city in daily operation control,more and more used to transport the traffic lights command and management. And a complete set of traffic lights control system usually to realize automatic control and manual control to realize the conversion of the traffic lights. Based on FPGA design of traffic light control system circuit is simple good reliability. This design using Verilog language using hierarchical mix and input methods,can control four intersection red yellow green left four lamp lights, let its on the specific rules change. In the QUARTUS on the system comprehensive and simulation. The simulation results show that the system can realize intersection traffic lights and turn left control and the countdown show, and can automatically control the traffic lights change.

Antistop: traffic lights to be automatic control,QuartusⅡ,verilog

目 录

摘要 I
Abstract II
第一章 绪论 1
1.1国内外研究现状及发展趋势 1
1.2设计开发意义 1
1.3 设计开发思路 1
第二章Quartus II软件与verilog语言简介 3
2.1 Quartus II 3
2.2 Quartus II软件与同类的比较 3
2.3 verilog语言简介 3
第三章 交通信号控制器设计要求与思路 5
3.1 设计要求 6
3.2 设计思路 6
3.3 系统设计框图 7
图2 系统设计框图 8
第四章 交通信号控制器调试仿真 9
4.1 时钟分频模块设计 9
4.2 控制模块设计 9
4.3 扫描显示译码模块设计 9
4.4.顶层文件设计 10
4.5交通信号控制器功能仿真与测试 10
4.6结论 12
参考文献(References) 13
附录 14
资料文件预览
共1文件夹,6个文件,文件总大小:2.69MB,压缩后大小:1.14MB
  • 毕业设计-基于verilog语言交通灯控制器的设计
    • Microsoft Word文档中英文献.doc  [48.50KB]
    • Microsoft Word文档任务书.doc  [122.50KB]
    • Microsoft Word文档开题报告.doc  [119.00KB]
    • Microsoft Word文档毕业设计原创性申明.doc  [26.50KB]
    • Microsoft Powerpoint演示文稿答辩PPT.ppt  [1.65MB]
    • Microsoft Word文档论文.doc  [743.00KB]
下载地址
资料评论
注意事项
下载FAQ:
Q: 为什么我下载的文件打不开?
A: 本站所有资源如无特殊说明,解压密码都是www.xuehai.net,如果无法解压,请下载最新的WinRAR软件。
Q: 我的学海币不多了,如何获取学海币?
A: 上传优质资源可以获取学海币,详细见学海币规则
Q: 为什么我下载不了,但学海币却被扣了?
A: 由于下载人数众多,下载服务器做了并发的限制。请稍后再试,48小时内多次下载不会重复扣学海币。
下载本文件意味着您已经同意遵守以下协议
1. 文件的所有权益归上传用户所有。
2. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
3. 学海网仅提供交流平台,并不能对任何下载内容负责。
4. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
5. 本站不保证提供的下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
返回顶部