您现在正在浏览:首页 > 论文 > 电子电工 > 毕业设计-基于DE2平台的信号数字处理设计-FIR滤波模块

免费下载毕业设计-基于DE2平台的信号数字处理设计-FIR滤波模块

  • 资源类别:论文
  • 资源分类:电子电工
  • 适用专业:计算机科学与技术
  • 适用年级:大学
  • 上传用户:xuehai
  • 文件格式:word+rpt+v+pdf
  • 文件大小:34.99MB
  • 上传时间:2013-9-13 1:46:47
  • 下载次数:0
  • 浏览次数:0

安全检测:瑞星:安全 诺顿:安全 卡巴:安全

资料简介

毕业设计-基于DE2平台的信号数字处理设计-FIR滤波模块,共49页,15564字,附完整程序、开题报告等
摘要
随着信息技术的飞速发展,通信、航空航天、雷达等系统对带宽、高速、实时信号处理要求越来越高。由于许多工程技术领域都设计到如何在较强的背景噪声和干扰信号下提取真正的信号,对滤波器的性能的要求也随之提高。滤波器的好坏很重要的影响着系统的稳定性。然而,以往滤波器的设计方法步骤繁琐,计算环节复杂,一旦设计完成,无法便捷修改滤波特性曲线,十分不便,而以上缺点极大的限制了滤波器的使用和发展。
常用的高速实时数字信号处理器件有ASIC、可编程的数字信号处理芯片(如TI系列等)、FPGA等。FPGA由于其具有灵活的可编程逻辑、速度快、功耗低,加上反复的可编程能力,越来越受到国内外从事数字信号处理的研究者所青睐。
在信号处理领域,MATLAB由于其强大的功能,得到了广泛的应用,不仅减少了设计的复杂度以及工作量等,更提供了一个有效的仿真验证环境。DSP Builder 是一个系统级设计工具,它同时把系统级和RTL级的设计工具连接起来,使算法开发和硬件实现可以无缝的过渡,缩短设计的周期。
本文研究的主要内容是基于FPGA的FIR(Finite Impulse Response)有限脉冲响应滤波器的设计与实现,通过MATLAB工具应用设计FIR滤波器,应用DSP Builder进行实现,最后,应用Verilog HDL语言编写,基于Altera公司的Cyclone II系列芯片EP2C70F896C6实现,成功完成了FIR数字滤波器的设计,所设计电路通过软件验证和硬件仿真。结果表明电路工作正确可靠,满足设计要求性能。
关键词:FIR滤波器;Matlab;FPGA;DSP Builder

目 录
引言 - 1 -
第一章 绪论 - 2 -
1.1 数字滤波器的研究背景 - 2 -
1.2 数字滤波器的研究现状 - 2 -
1.3 FPGA简介 - 3 -
1.4 Verilog HDL简介 - 3 -
1.4.1 用途 - 3 -
1.4.2 Verilog HDL的设计流程简介 - 4 -
1.5 本课题的研究内容 - 4 -
第二章 滤波器简介 - 5 -
2.1 滤波器的原理和分类 - 5 -
2.1.1 滤波器的实现步骤 - 5 -
2.1.2采样定理 - 6 -
2.2滤波器的技术指标 - 6 -
2.3 滤波器的分类 - 7 -
2.4 滤波器设计的基本步骤 - 7 -
2.5 FIR网络结构 - 8 -
2.5.1直接型结构 - 8 -
2.5.2级联型结构 - 9 -
2.5.3线性相位结构 - 10 -
2.5 采样频率结构和其优点 - 11 -
2.6 数字FIR滤波器基础简介 - 11 -
2.7 FIR数字滤波器的传统设计方法 - 12 -
2.8 线性相位FIR数字滤波器的特点 - 13 -
2.8.1线性相位的条件 - 13 -
2.8.2线性相位的特点 - 15 -
2.8.3幅度函数的特点 - 18 -
2.9 MATLAB窗函数法设计FIR滤波器 - 18 -
第三章 FIR滤波器硬件设计 - 23 -
3.1 FIR滤波器总体设计 - 23 -
3.2 DE2-70简介 - 24 -
4.2 引脚分配 - 26 -
4.3 Audio DAC/ADC 配置 - 26 -
4.3.1 Audio DAC/ADC简介 - 26 -
第四章 FIR滤波器软件设计 - 28 -
4.1 音频采样和输出模块的实现 - 28 -
5.2 FIR滤波器模型建立 - 34 -
5.2.1 FIR滤波系数 - 34 -
5.2.2 FIR模型建立 - 35 -
5.3 小结 - 38 -
结论 - 39 -
致谢 - 41

本课题的研究内容
此次我研究的主要方向是通过MATLAB的帮助基于DE2平台来实现FIR滤波,以及掌握FIR的滤波原理,还有FIR的结构。
FIR滤波器的实现方法主要有窗函数法、频率采样法、最优等波纹法以及滤波器函数直接设计法。

资料文件预览
共14文件夹,766个文件,文件总大小:67.84MB,压缩后大小:34.99MB
  • 毕业设计-基于DE2平台的信号数字处理设计-FIR滤波模块
    • 1091000032
      • 1091000032-刘旭
        • fir_test
          • DSPBuilder_fir_core_116_import
            • iptb_debug
              • 文本文档fir_compiler_d.txt  [53.34KB]
            • JPEG位图文件;地址文档fir_compiler_v8_0.cmp  [2.09KB]
            • 超文本文档fir_compiler_v8_0.html  [5.66KB]
            • qipfir_compiler_v8_0.qip  [2.71KB]
            • vecfir_compiler_v8_0.vec  [453.00B]
            • vhdfir_compiler_v8_0.vhd  [12.67KB]
            • vhofir_compiler_v8_0.vho  [1.75MB]
            • vofir_compiler_v8_0.vo  [2.16MB]
            • simdbfir_compiler_v8_0.vo.simdb  [2.62MB]
            • xmlfir_compiler_v8_0.xml  [7.23KB]
            • 用TCL/TK语言编写的脚本fir_compiler_v8_0_add.tcl  [856.00B]
            • 用TCL/TK语言编写的脚本fir_compiler_v8_0_add_msim.tcl  [79.00B]
            • vhdfir_compiler_v8_0_ast.vhd  [6.71KB]
            • 文本文档fir_compiler_v8_0_coef_int.txt  [538.00B]
            • 用TCL/TK语言编写的脚本fir_compiler_v8_0_constraints.tcl  [4.83KB]
            • 批处理文件fir_compiler_v8_0_generate_test_netlist.bat  [652.00B]
            • 文本文档fir_compiler_v8_0_generate_test_netlist.txt  [939.00B]
            • entityimportfir_compiler_v8_0_import.entityimport  [1.78KB]
            • 文本文档fir_compiler_v8_0_input.txt  [2.80KB]
            • mfir_compiler_v8_0_mlab.m  [7.16KB]
            • mfir_compiler_v8_0_model.m  [4.54KB]
            • 用TCL/TK语言编写的脚本fir_compiler_v8_0_msim.tcl  [12.39KB]
            • 用TCL/TK语言编写的脚本fir_compiler_v8_0_nativelink.tcl  [3.21KB]
            • 文本文档fir_compiler_v8_0_param.txt  [1.39KB]
            • 文本文档fir_compiler_v8_0_silent_param.txt  [747.00B]
            • vfir_compiler_v8_0_st.v  [490.15KB]
            • 批处理文件launch_iptb.bat  [830.00B]
            • 文本文档launch_iptb.txt  [54.97KB]
            • vhdtb_fir_compiler_v8_0.vhd  [8.52KB]
            • vhdtb_regtest.vhd  [7.42KB]
            • [非法语句]志文件velocity.log  [6.26KB]
          • VGA_Controller
            • Macintosh BinHex2.0文件Img_DATA.hex  [562.51KB]
            • bsfImg_RAM.bsf  [5.01KB]
            • vImg_RAM.v  [9.78KB]
            • vVGA_Controller.v  [4.30KB]
            • 备份文件VGA_Controller.v.bak  [4.29KB]
            • vVGA_OSD_RAM.v  [1.46KB]
            • 备份文件VGA_OSD_RAM.v.bak  [1.47KB]
            • C程序头文件VGA_Param.h  [489.00B]
          • db
            • cdbDE2_70_Default.(0).cnf.cdb  [22.58KB]
            • hdbDE2_70_Default.(0).cnf.hdb  [9.64KB]
            • hdbxDE2_70_Default.umtl_f5e98916c0392542a9275ff3285e99bf1.map.hdbx  [8.76KB]
            • infoDE2_70_Default.umtl_f5e98916c0392542a9275ff3285e99bf1.map.info  [587.00B]
            • logdbDE2_70_Default.umtl_f5e98916c0392542a9275ff3285e99bf1.map.logdb  [4.00B]
            • cdbDE2_70_Default.(1).cnf.cdb  [5.07KB]
            • hdbDE2_70_Default.(1).cnf.hdb  [1.80KB]
            • cdbDE2_70_Default.(2).cnf.cdb  [493.00B]
            • hdbDE2_70_Default.(2).cnf.hdb  [439.00B]
            • cdbDE2_70_Default.(3).cnf.cdb  [718.00B]
            • hdbDE2_70_Default.(3).cnf.hdb  [790.00B]
            • cdbDE2_70_Default.(4).cnf.cdb  [425.00B]
            • hdbDE2_70_Default.(4).cnf.hdb  [625.00B]
            • cdbDE2_70_Default.(5).cnf.cdb  [469.00B]
            • hdbDE2_70_Default.(5).cnf.hdb  [457.00B]
            • cdbDE2_70_Default.(6).cnf.cdb  [469.00B]
            • hdbDE2_70_Default.(6).cnf.hdb  [456.00B]
            • cdbDE2_70_Default.(7).cnf.cdb  [426.00B]
            • hdbDE2_70_Default.(7).cnf.hdb  [624.00B]
            • cdbDE2_70_Default.(8).cnf.cdb  [867.00B]
            • hdbDE2_70_Default.(8).cnf.hdb  [931.00B]
            • cdbDE2_70_Default.(9).cnf.cdb  [718.00B]
            • hdbDE2_70_Default.(9).cnf.hdb  [790.00B]
            • cdbDE2_70_Default.(10).cnf.cdb  [1.28KB]
            • hdbDE2_70_Default.(10).cnf.hdb  [608.00B]
            • cdbDE2_70_Default.(11).cnf.cdb  [1.31KB]
            • hdbDE2_70_Default.(11).cnf.hdb  [656.00B]
            • cdbDE2_70_Default.(12).cnf.cdb  [711.00B]
            • hdbDE2_70_Default.(12).cnf.hdb  [544.00B]
            • cdbDE2_70_Default.(13).cnf.cdb  [922.00B]
            • hdbDE2_70_Default.(13).cnf.hdb  [513.00B]
            • cdbDE2_70_Default.(14).cnf.cdb  [999.00B]
            • hdbDE2_70_Default.(14).cnf.hdb  [546.00B]
            • cdbDE2_70_Default.(15).cnf.cdb  [565.00B]
            • hdbDE2_70_Default.(15).cnf.hdb  [495.00B]
            • cdbDE2_70_Default.(16).cnf.cdb  [956.00B]
            • hdbDE2_70_Default.(16).cnf.hdb  [530.00B]
            • cdbDE2_70_Default.(17).cnf.cdb  [1.01KB]
            • hdbDE2_70_Default.(17).cnf.hdb  [557.00B]
            • cdbDE2_70_Default.(18).cnf.cdb  [799.00B]
            • hdbDE2_70_Default.(18).cnf.hdb  [490.00B]
            • cdbDE2_70_Default.(19).cnf.cdb  [841.00B]
            • hdbDE2_70_Default.(19).cnf.hdb  [528.00B]
            • cdbDE2_70_Default.(20).cnf.cdb  [468.00B]
            • hdbDE2_70_Default.(20).cnf.hdb  [459.00B]
            • cdbDE2_70_Default.(21).cnf.cdb  [1.58KB]
            • hdbDE2_70_Default.(21).cnf.hdb  [925.00B]
            • cdbDE2_70_Default.(22).cnf.cdb  [1.66KB]
            • hdbDE2_70_Default.(22).cnf.hdb  [619.00B]
            • cdbDE2_70_Default.(23).cnf.cdb  [1.71KB]
            • hdbDE2_70_Default.(23).cnf.hdb  [1.18KB]
            • cdbDE2_70_Default.(24).cnf.cdb  [13.68KB]
            • hdbDE2_70_Default.(24).cnf.hdb  [3.35KB]
            • cdbDE2_70_Default.(25).cnf.cdb  [4.34KB]
            • hdbDE2_70_Default.(25).cnf.hdb  [1.52KB]
            • cdbDE2_70_Default.(26).cnf.cdb  [2.18KB]
            • hdbDE2_70_Default.(26).cnf.hdb  [806.00B]
            • cdbDE2_70_Default.(27).cnf.cdb  [1.58KB]
            • hdbDE2_70_Default.(27).cnf.hdb  [721.00B]
            • cdbDE2_70_Default.(28).cnf.cdb  [1.41KB]
            • hdbDE2_70_Default.(28).cnf.hdb  [524.00B]
            • cdbDE2_70_Default.(29).cnf.cdb  [19.16KB]
            • hdbDE2_70_Default.(29).cnf.hdb  [1.89KB]
            • cdbDE2_70_Default.(30).cnf.cdb  [2.34KB]
            • hdbDE2_70_Default.(30).cnf.hdb  [1.24KB]
            • cdbDE2_70_Default.(31).cnf.cdb  [2.35KB]
            • hdbDE2_70_Default.(31).cnf.hdb  [1.24KB]
            • cdbDE2_70_Default.(32).cnf.cdb  [5.76KB]
            • hdbDE2_70_Default.(32).cnf.hdb  [2.78KB]
            • cdbDE2_70_Default.(33).cnf.cdb  [8.38KB]
            • hdbDE2_70_Default.(33).cnf.hdb  [1.63KB]
            • cdbDE2_70_Default.(34).cnf.cdb  [6.30KB]
            • hdbDE2_70_Default.(34).cnf.hdb  [1.54KB]
            • cdbDE2_70_Default.(35).cnf.cdb  [11.43KB]
            • hdbDE2_70_Default.(35).cnf.hdb  [3.99KB]
            • cdbDE2_70_Default.(36).cnf.cdb  [14.17KB]
            • hdbDE2_70_Default.(36).cnf.hdb  [2.75KB]
            • cdbDE2_70_Default.(37).cnf.cdb  [11.71KB]
            • hdbDE2_70_Default.(37).cnf.hdb  [2.84KB]
            • cdbDE2_70_Default.(38).cnf.cdb  [14.63KB]
            • hdbDE2_70_Default.(38).cnf.hdb  [2.94KB]
            • cdbDE2_70_Default.(39).cnf.cdb  [24.27KB]
            • hdbDE2_70_Default.(39).cnf.hdb  [4.18KB]
            • cdbDE2_70_Default.(40).cnf.cdb  [11.08KB]
            • hdbDE2_70_Default.(40).cnf.hdb  [2.13KB]
            • cdbDE2_70_Default.(41).cnf.cdb  [3.98KB]
            • hdbDE2_70_Default.(41).cnf.hdb  [1.14KB]
            • cdbDE2_70_Default.(42).cnf.cdb  [7.29KB]
            • hdbDE2_70_Default.(42).cnf.hdb  [1.50KB]
            • cdbDE2_70_Default.(43).cnf.cdb  [1.72KB]
            • hdbDE2_70_Default.(43).cnf.hdb  [842.00B]
            • cdbDE2_70_Default.(44).cnf.cdb  [4.02KB]
            • hdbDE2_70_Default.(44).cnf.hdb  [1.29KB]
            • cdbDE2_70_Default.(45).cnf.cdb  [6.03KB]
            • hdbDE2_70_Default.(45).cnf.hdb  [1.89KB]
            • cdbDE2_70_Default.(46).cnf.cdb  [2.41KB]
            • hdbDE2_70_Default.(46).cnf.hdb  [614.00B]
            • cdbDE2_70_Default.(47).cnf.cdb  [4.67KB]
            • hdbDE2_70_Default.(47).cnf.hdb  [930.00B]
            • cdbDE2_70_Default.(48).cnf.cdb  [1.59KB]
            • hdbDE2_70_Default.(48).cnf.hdb  [643.00B]
            • cdbDE2_70_Default.(49).cnf.cdb  [1.64KB]
            • hdbDE2_70_Default.(49).cnf.hdb  [501.00B]
            • cdbDE2_70_Default.(50).cnf.cdb  [6.08KB]
            • hdbDE2_70_Default.(50).cnf.hdb  [1.15KB]
            • cdbDE2_70_Default.(51).cnf.cdb  [1.31KB]
            • hdbDE2_70_Default.(51).cnf.hdb  [499.00B]
            • cdbDE2_70_Default.(52).cnf.cdb  [1.84KB]
            • hdbDE2_70_Default.(52).cnf.hdb  [1.03KB]
            • cdbDE2_70_Default.(53).cnf.cdb  [1.12KB]
            • hdbDE2_70_Default.(53).cnf.hdb  [630.00B]
            • cdbDE2_70_Default.(54).cnf.cdb  [2.09KB]
            • hdbDE2_70_Default.(54).cnf.hdb  [780.00B]
            • cdbDE2_70_Default.(55).cnf.cdb  [2.52KB]
            • hdbDE2_70_Default.(55).cnf.hdb  [740.00B]
            • cdbDE2_70_Default.(56).cnf.cdb  [868.00B]
            • hdbDE2_70_Default.(56).cnf.hdb  [542.00B]
            • cdbDE2_70_Default.(57).cnf.cdb  [731.00B]
            • hdbDE2_70_Default.(57).cnf.hdb  [443.00B]
            • cdbDE2_70_Default.(58).cnf.cdb  [866.00B]
            • hdbDE2_70_Default.(58).cnf.hdb  [542.00B]
            • cdbDE2_70_Default.(59).cnf.cdb  [9.07KB]
            • hdbDE2_70_Default.(59).cnf.hdb  [2.61KB]
            • cdbDE2_70_Default.(60).cnf.cdb  [1.58KB]
            • hdbDE2_70_Default.(60).cnf.hdb  [776.00B]
            • cdbDE2_70_Default.(61).cnf.cdb  [215.46KB]
            • hdbDE2_70_Default.(61).cnf.hdb  [75.32KB]
            • cdbDE2_70_Default.(62).cnf.cdb  [2.16KB]
            • hdbDE2_70_Default.(62).cnf.hdb  [819.00B]
            • cdbDE2_70_Default.(63).cnf.cdb  [1.99KB]
            • hdbDE2_70_Default.(63).cnf.hdb  [845.00B]
            • cdbDE2_70_Default.(64).cnf.cdb  [1.50KB]
            • hdbDE2_70_Default.(64).cnf.hdb  [492.00B]
            • cdbDE2_70_Default.(65).cnf.cdb  [1.61KB]
            • hdbDE2_70_Default.(65).cnf.hdb  [492.00B]
            • cdbDE2_70_Default.(66).cnf.cdb  [1.44KB]
            • hdbDE2_70_Default.(66).cnf.hdb  [488.00B]
            • cdbDE2_70_Default.(67).cnf.cdb  [1.53KB]
            • hdbDE2_70_Default.(67).cnf.hdb  [488.00B]
            • cdbDE2_70_Default.(68).cnf.cdb  [1.15KB]
            • hdbDE2_70_Default.(68).cnf.hdb  [489.00B]
            • cdbDE2_70_Default.(69).cnf.cdb  [1.16KB]
            • hdbDE2_70_Default.(69).cnf.hdb  [489.00B]
            • cdbDE2_70_Default.(70).cnf.cdb  [1.45KB]
            • hdbDE2_70_Default.(70).cnf.hdb  [492.00B]
            • cdbDE2_70_Default.(71).cnf.cdb  [1.23KB]
            • hdbDE2_70_Default.(71).cnf.hdb  [502.00B]
            • cdbDE2_70_Default.(72).cnf.cdb  [1.33KB]
            • hdbDE2_70_Default.(72).cnf.hdb  [488.00B]
            • cdbDE2_70_Default.(73).cnf.cdb  [1.26KB]
            • hdbDE2_70_Default.(73).cnf.hdb  [488.00B]
            • cdbDE2_70_Default.(74).cnf.cdb  [1.37KB]
            • hdbDE2_70_Default.(74).cnf.hdb  [488.00B]
            • cdbDE2_70_Default.(75).cnf.cdb  [1.45KB]
            • hdbDE2_70_Default.(75).cnf.hdb  [488.00B]
            • cdbDE2_70_Default.(76).cnf.cdb  [1.44KB]
            • hdbDE2_70_Default.(76).cnf.hdb  [492.00B]
            • cdbDE2_70_Default.(77).cnf.cdb  [1.50KB]
            • hdbDE2_70_Default.(77).cnf.hdb  [492.00B]
            • cdbDE2_70_Default.(78).cnf.cdb  [1.42KB]
            • hdbDE2_70_Default.(78).cnf.hdb  [488.00B]
            • cdbDE2_70_Default.(79).cnf.cdb  [1.39KB]
            • hdbDE2_70_Default.(79).cnf.hdb  [488.00B]
            • cdbDE2_70_Default.(80).cnf.cdb  [1.35KB]
            • hdbDE2_70_Default.(80).cnf.hdb  [492.00B]
            • cdbDE2_70_Default.(81).cnf.cdb  [1.20KB]
            • hdbDE2_70_Default.(81).cnf.hdb  [492.00B]
            • cdbDE2_70_Default.(82).cnf.cdb  [1.65KB]
            • hdbDE2_70_Default.(82).cnf.hdb  [494.00B]
            • cdbDE2_70_Default.(83).cnf.cdb  [1.63KB]
            • hdbDE2_70_Default.(83).cnf.hdb  [494.00B]
            • cdbDE2_70_Default.(84).cnf.cdb  [1.56KB]
            • hdbDE2_70_Default.(84).cnf.hdb  [488.00B]
            • hdbxDE2_70_Default.umtl_f5e98916c0392542a9275ff3285e99bf1.cmp.hdbx  [8.80KB]
            • cdbDE2_70_Default.(85).cnf.cdb  [1.53KB]
            • hdbDE2_70_Default.(85).cnf.hdb  [488.00B]
            • cdbDE2_70_Default.(86).cnf.cdb  [1.65KB]
            • hdbDE2_70_Default.(86).cnf.hdb  [494.00B]
            • cdbDE2_70_Default.(87).cnf.cdb  [1.65KB]
            • hdbDE2_70_Default.(87).cnf.hdb  [494.00B]
            • cdbDE2_70_Default.(88).cnf.cdb  [1.61KB]
            • hdbDE2_70_Default.(88).cnf.hdb  [494.00B]
            • cdbDE2_70_Default.(89).cnf.cdb  [1.73KB]
            • hdbDE2_70_Default.(89).cnf.hdb  [494.00B]
            • cdbDE2_70_Default.(90).cnf.cdb  [1.38KB]
            • hdbDE2_70_Default.(90).cnf.hdb  [492.00B]
            • cdbDE2_70_Default.(91).cnf.cdb  [1.19KB]
            • hdbDE2_70_Default.(91).cnf.hdb  [492.00B]
            • cdbDE2_70_Default.(92).cnf.cdb  [1.25KB]
            • hdbDE2_70_Default.(92).cnf.hdb  [505.00B]
            • cdbDE2_70_Default.(93).cnf.cdb  [1.11KB]
            • hdbDE2_70_Default.(93).cnf.hdb  [509.00B]
            • cdbDE2_70_Default.(94).cnf.cdb  [1.52KB]
            • hdbDE2_70_Default.(94).cnf.hdb  [802.00B]
            • cdbDE2_70_Default.(95).cnf.cdb  [1.55KB]
            • hdbDE2_70_Default.(95).cnf.hdb  [809.00B]
            • cdbDE2_70_Default.(96).cnf.cdb  [1.57KB]
            • hdbDE2_70_Default.(96).cnf.hdb  [807.00B]
            • cdbDE2_70_Default.(97).cnf.cdb  [1.60KB]
            • hdbDE2_70_Default.(97).cnf.hdb  [782.00B]
            • cdbDE2_70_Default.(98).cnf.cdb  [1.62KB]
            • hdbDE2_70_Default.(98).cnf.hdb  [802.00B]
            • cdbDE2_70_Default.(99).cnf.cdb  [1.65KB]
            • hdbDE2_70_Default.(99).cnf.hdb  [814.00B]
            • cdbDE2_70_Default.(100).cnf.cdb  [1.67KB]
            • hdbDE2_70_Default.(100).cnf.hdb  [834.00B]
            • cdbDE2_70_Default.(101).cnf.cdb  [1.70KB]
            • hdbDE2_70_Default.(101).cnf.hdb  [845.00B]
            • cdbDE2_70_Default.(102).cnf.cdb  [1.74KB]
            • hdbDE2_70_Default.(102).cnf.hdb  [852.00B]
            • cdbDE2_70_Default.(103).cnf.cdb  [1.04KB]
            • hdbDE2_70_Default.(103).cnf.hdb  [706.00B]
            • cdbDE2_70_Default.(104).cnf.cdb  [1.98KB]
            • hdbDE2_70_Default.(104).cnf.hdb  [700.00B]
            • cdbDE2_70_Default.(105).cnf.cdb  [1.05KB]
            • hdbDE2_70_Default.(105).cnf.hdb  [627.00B]
            • cdbDE2_70_Default.(106).cnf.cdb  [3.93KB]
            • hdbDE2_70_Default.(106).cnf.hdb  [1.22KB]
            • cdbDE2_70_Default.(107).cnf.cdb  [1.59KB]
            • hdbDE2_70_Default.(107).cnf.hdb  [911.00B]
            • cdbDE2_70_Default.(108).cnf.cdb  [4.34KB]
            • hdbDE2_70_Default.(108).cnf.hdb  [1.05KB]
            • cdbDE2_70_Default.(109).cnf.cdb  [3.19KB]
            • hdbDE2_70_Default.(109).cnf.hdb  [913.00B]
            • cdbDE2_70_Default.(110).cnf.cdb  [1.66KB]
            • hdbDE2_70_Default.(110).cnf.hdb  [721.00B]
            • cdbDE2_70_Default.(111).cnf.cdb  [4.41KB]
            • hdbDE2_70_Default.(111).cnf.hdb  [1.02KB]
            • cdbDE2_70_Default.(112).cnf.cdb  [8.28KB]
            • hdbDE2_70_Default.(112).cnf.hdb  [2.70KB]
            • dfpDE2_70_Default.umtl_f5e98916c0392542a9275ff3285e99bf1.cmp.dfp  [33.00B]
            • cdbDE2_70_Default.(113).cnf.cdb  [2.66KB]
            • hdbDE2_70_Default.(113).cnf.hdb  [718.00B]
            • cdbDE2_70_Default.(114).cnf.cdb  [2.31KB]
            • hdbDE2_70_Default.(114).cnf.hdb  [633.00B]
            • cdbDE2_70_Default.(115).cnf.cdb  [883.00B]
            • hdbDE2_70_Default.(115).cnf.hdb  [487.00B]
            • cdbDE2_70_Default.(116).cnf.cdb  [2.12KB]
            • hdbDE2_70_Default.(116).cnf.hdb  [1.01KB]
            • cdbDE2_70_Default.(117).cnf.cdb  [637.00B]
            • hdbDE2_70_Default.(117).cnf.hdb  [520.00B]
            • cdbDE2_70_Default.(118).cnf.cdb  [932.00B]
            • hdbDE2_70_Default.(118).cnf.hdb  [589.00B]
            • cdbDE2_70_Default.(119).cnf.cdb  [873.00B]
            • hdbDE2_70_Default.(119).cnf.hdb  [582.00B]
            • cdbDE2_70_Default.(120).cnf.cdb  [4.73KB]
            • hdbDE2_70_Default.(120).cnf.hdb  [1.03KB]
            • cdbDE2_70_Default.(121).cnf.cdb  [1.32KB]
            • hdbDE2_70_Default.(121).cnf.hdb  [729.00B]
            • cdbDE2_70_Default.(122).cnf.cdb  [1.69KB]
            • hdbDE2_70_Default.(122).cnf.hdb  [674.00B]
            • qmsgDE2_70_Default.asm.qmsg  [2.65KB]
            • ddbDE2_70_Default.asm_labs.ddb  [162.03KB]
            • xmlDE2_70_Default.cbx.xml  [2.48KB]
            • bpmDE2_70_Default.cmp.bpm  [13.46KB]
            • cdbDE2_70_Default.cmp.cdb  [1.71MB]
            • ecobpDE2_70_Default.cmp.ecobp  [113.00B]
            • hdbDE2_70_Default.cmp.hdb  [247.79KB]
            • logdbDE2_70_Default.cmp.logdb  [4.00B]
            • rdbDE2_70_Default.cmp.rdb  [130.91KB]
            • Thumbs Plus数据库DE2_70_Default.cmp.tdb  [3.30MB]
            • ddbDE2_70_Default.cmp0.ddb  [3.84MB]
            • db_infoDE2_70_Default.db_info  [137.00B]
            • cdbDE2_70_Default.eco.cdb  [161.00B]
            • qmsgDE2_70_Default.fit.qmsg  [721.46KB]
            • hier_infoDE2_70_Default.hier_info  [2.14MB]
            • hifDE2_70_Default.hif  [286.66KB]
            • bpmDE2_70_Default.map.bpm  [12.91KB]
            • cdbDE2_70_Default.map.cdb  [376.09KB]
            • ecobpDE2_70_Default.map.ecobp  [113.00B]
            • hdbDE2_70_Default.map.hdb  [228.02KB]
            • logdbDE2_70_Default.map.logdb  [4.00B]
            • qmsgDE2_70_Default.map.qmsg  [709.63KB]
            • cdbDE2_70_Default.map_bb.cdb  [3.76KB]
            • hdbDE2_70_Default.map_bb.hdb  [45.83KB]
            • hdbxDE2_70_Default.map_bb.hdbx  [42.04KB]
            • logdbDE2_70_Default.map_bb.logdb  [4.00B]
            • atmDE2_70_Default.nabbo_fd801ef9486a30386794de538b6d4a1.cmp.atm  [22.27KB]
            • dfpDE2_70_Default.nabbo_fd801ef9486a30386794de538b6d4a1.cmp.dfp  [33.00B]
            • hdbxDE2_70_Default.nabbo_fd801ef9486a30386794de538b6d4a1.cmp.hdbx  [11.45KB]
            • logdbDE2_70_Default.nabbo_fd801ef9486a30386794de538b6d4a1.cmp.logdb  [4.00B]
            • atmDE2_70_Default.nabbo_fd801ef9486a30386794de538b6d4a1.map.atm  [19.01KB]
            • hdbxDE2_70_Default.nabbo_fd801ef9486a30386794de538b6d4a1.map.hdbx  [11.13KB]
            • logdbDE2_70_Default.nabbo_fd801ef9486a30386794de538b6d4a1.map.logdb  [4.00B]
            • cdbDE2_70_Default.pre_map.cdb  [784.16KB]
            • hdbDE2_70_Default.pre_map.hdb  [287.80KB]
            • Paint Shop Pro图像文件DE2_70_Default.psp  [365.00B]
            • atmDE2_70_Default.root_partition.cmp.atm  [1.32MB]
            • CotdFusion模板文件;Visual dBASE Windows用户定制表单DE2_70_Default.root_partition.cmp.cfm  [686.00B]
            • dfpDE2_70_Default.root_partition.cmp.dfp  [33.00B]
            • hdbxDE2_70_Default.root_partition.cmp.hdbx  [216.91KB]
            • logdbDE2_70_Default.root_partition.cmp.logdb  [4.00B]
            • rcfDE2_70_Default.root_partition.cmp.rcf  [467.75KB]
            • atmDE2_70_Default.root_partition.map.atm  [1.05MB]
            • CotdFusion模板文件;Visual dBASE Windows用户定制表单DE2_70_Default.root_partition.map.cfm  [687.00B]
            • hdbxDE2_70_Default.root_partition.map.hdbx  [199.90KB]
            • infoDE2_70_Default.root_partition.map.info  [55.12KB]
            • atmDE2_70_Default.root_partition.merge_hb.atm  [261.47KB]
            • hdbDE2_70_Default.rtlv.hdb  [285.45KB]
            • cdbDE2_70_Default.rtlv_sg.cdb  [464.44KB]
            • cdbDE2_70_Default.rtlv_sg_swap.cdb  [110.56KB]
            • cdbDE2_70_Default.sgdiff.cdb  [591.98KB]
            • hdbDE2_70_Default.sgdiff.hdb  [336.12KB]
            • cdbDE2_70_Default.signalprobe.cdb  [450.00B]
            • atmDE2_70_Default.sink_6411573bce863ca658f0233dda87541e1.cmp.atm  [39.66KB]
            • dfpDE2_70_Default.sink_6411573bce863ca658f0233dda87541e1.cmp.dfp  [33.00B]
            • hdbxDE2_70_Default.sink_6411573bce863ca658f0233dda87541e1.cmp.hdbx  [14.32KB]
            • logdbDE2_70_Default.sink_6411573bce863ca658f0233dda87541e1.cmp.logdb  [4.00B]
            • atmDE2_70_Default.sink_6411573bce863ca658f0233dda87541e1.map.atm  [30.37KB]
            • hdbxDE2_70_Default.sink_6411573bce863ca658f0233dda87541e1.map.hdbx  [13.76KB]
            • infoDE2_70_Default.sink_6411573bce863ca658f0233dda87541e1.map.info  [4.09KB]
            • logdbDE2_70_Default.sink_6411573bce863ca658f0233dda87541e1.map.logdb  [4.00B]
            • ScanVec Inspire本地文件格式DE2_70_Default.sld_design_entry.sci  [154.00B]
            • ScanVec Inspire本地文件格式DE2_70_Default.sld_design_entry_dsc.sci  [324.00B]
            • atmDE2_70_Default.sldhu_30e344a040fd07e1533c49de5f2d67d1.cmp.atm  [22.23KB]
            • dfpDE2_70_Default.sldhu_30e344a040fd07e1533c49de5f2d67d1.cmp.dfp  [33.00B]
            • hdbxDE2_70_Default.sldhu_30e344a040fd07e1533c49de5f2d67d1.cmp.hdbx  [12.12KB]
            • logdbDE2_70_Default.sldhu_30e344a040fd07e1533c49de5f2d67d1.cmp.logdb  [4.00B]
            • atmDE2_70_Default.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.atm  [19.35KB]
            • hdbxDE2_70_Default.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.hdbx  [11.80KB]
            • logdbDE2_70_Default.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.logdb  [4.00B]
            • 文本文档DE2_70_Default.smp_dump.txt  [2.21KB]
            • syn_hier_infoDE2_70_Default.syn_hier_info  [0.00B]
            • qmsgDE2_70_Default.tan.qmsg  [305.95KB]
            • ddbDE2_70_Default.tis_db_list.ddb  [174.00B]
            • tmw_infoDE2_70_Default.tmw_info  [159.00B]
            • atmDE2_70_Default.umtl_f5e98916c0392542a9275ff3285e99bf1.cmp.atm  [9.45KB]
            • logdbDE2_70_Default.umtl_f5e98916c0392542a9275ff3285e99bf1.cmp.logdb  [4.00B]
            • atmDE2_70_Default.umtl_f5e98916c0392542a9275ff3285e99bf1.map.atm  [8.65KB]
            • Adobe FramMaker交换格式DE2_70_Default0.rtl.mif  [1.63KB]
            • tdfaltsyncram_2c12.tdf  [2.76KB]
            • tdfaltsyncram_5001.tdf  [13.74KB]
            • tdfaltsyncram_tj42.tdf  [114.19KB]
            • tdfcmpr_mag.tdf  [1.47KB]
            • tdfcntr_g6f.tdf  [3.07KB]
            • tdfdecode_aoi.tdf  [3.35KB]
            • tdfdecode_jpa.tdf  [5.31KB]
            • tdfmux_3kb.tdf  [12.53KB]
            • tdfmux_doc.tdf  [3.88KB]
            • tdfmux_goc.tdf  [9.01KB]
            • qmsgprev_cmp_DE2_70_Default.asm.qmsg  [2.65KB]
            • qmsgprev_cmp_DE2_70_Default.fit.qmsg  [721.46KB]
            • qmsgprev_cmp_DE2_70_Default.map.qmsg  [709.63KB]
            • qmsgprev_cmp_DE2_70_Default.qmsg  [1.71MB]
            • qmsgprev_cmp_DE2_70_Default.tan.qmsg  [318.66KB]
            • Adobe FramMaker交换格式rom0_AUDIO_DAC_1ed7bfc5.hdl.mif  [1.69KB]
          • fir_core_116_dspbuilder
            • db
              • vhdalt_dspbuilder_AROUND.vhd  [2.60KB]
              • vhdalt_dspbuilder_ASAT.vhd  [3.31KB]
              • vhdalt_dspbuilder_SBF.vhd  [8.84KB]
              • xmlalt_dspbuilder_cast.jvgen_cache.xml  [5.58KB]
              • vhdalt_dspbuilder_cast.vhd  [656.00B]
              • vhdalt_dspbuilder_cast_GN7L46H47G.vhd  [1.12KB]
              • vhdalt_dspbuilder_cast_GNIKTLDPAB.vhd  [1.12KB]
              • vhdalt_dspbuilder_cast_GNSORKJHI3.vhd  [1.09KB]
              • vhdalt_dspbuilder_cast_GNXN52GJXY.vhd  [1.09KB]
              • xmlalt_dspbuilder_clock.jvgen_cache.xml  [1.33KB]
              • vhdalt_dspbuilder_clock.vhd  [1.47KB]
              • vhdalt_dspbuilder_clock_GNXPOFXHLB.vhd  [661.00B]
              • xmlalt_dspbuilder_constant.jvgen_cache.xml  [1.25KB]
              • vhdalt_dspbuilder_constant.vhd  [668.00B]
              • vhdalt_dspbuilder_constant_GNFDP4BPXM.vhd  [577.00B]
              • vhdalt_dspbuilder_constant_GNMX2475UC.vhd  [577.00B]
              • xmlalt_dspbuilder_port.jvgen_cache.xml  [2.92KB]
              • vhdalt_dspbuilder_port.vhd  [656.00B]
              • vhdalt_dspbuilder_port_GN4BM56MSP.vhd  [631.00B]
              • vhdalt_dspbuilder_port_GN5FG4ZYSZ.vhd  [632.00B]
              • vhdalt_dspbuilder_port_GN5VATWHVD.vhd  [679.00B]
              • vhdalt_dspbuilder_port_GNDZA4NLTY.vhd  [680.00B]
              • xmlalt_dspbuilder_round.jvgen_cache.xml  [1.50KB]
              • vhdalt_dspbuilder_round.vhd  [659.00B]
              • vhdalt_dspbuilder_round_GN4NPT55MA.vhd  [13.53KB]
              • vhdalt_dspbuilder_sAltrPropagate.vhd  [1.64KB]
              • tdfcmpr_mag.tdf  [1.47KB]
              • tdfcntr_g6f.tdf  [3.07KB]
              • tdfdecode_aoi.tdf  [3.35KB]
              • xmlfir_compiler_v8_0_import.jvgen_cache.xml  [2.36KB]
              • vhdfir_compiler_v8_0_import.vhd  [1.98KB]
              • cdbfir_core_116.(0).cnf.cdb  [1.17KB]
              • hdbfir_core_116.(0).cnf.hdb  [440.00B]
              • cdbfir_core_116.(1).cnf.cdb  [5.05KB]
              • hdbfir_core_116.(1).cnf.hdb  [1.75KB]
              • cdbfir_core_116.(2).cnf.cdb  [489.00B]
              • hdbfir_core_116.(2).cnf.hdb  [424.00B]
              • cdbfir_core_116.(3).cnf.cdb  [1.72KB]
              • hdbfir_core_116.(3).cnf.hdb  [843.00B]
              • cdbfir_core_116.(4).cnf.cdb  [4.02KB]
              • hdbfir_core_116.(4).cnf.hdb  [1.30KB]
              • cdbfir_core_116.(5).cnf.cdb  [6.02KB]
              • hdbfir_core_116.(5).cnf.hdb  [1.89KB]
              • cdbfir_core_116.(6).cnf.cdb  [2.41KB]
              • hdbfir_core_116.(6).cnf.hdb  [615.00B]
              • cdbfir_core_116.(7).cnf.cdb  [4.67KB]
              • hdbfir_core_116.(7).cnf.hdb  [934.00B]
              • cdbfir_core_116.(8).cnf.cdb  [1.59KB]
              • hdbfir_core_116.(8).cnf.hdb  [635.00B]
              • cdbfir_core_116.(9).cnf.cdb  [1.65KB]
              • hdbfir_core_116.(9).cnf.hdb  [499.00B]
              • cdbfir_core_116.(10).cnf.cdb  [6.08KB]
              • hdbfir_core_116.(10).cnf.hdb  [1.15KB]
              • cdbfir_core_116.(11).cnf.cdb  [1.31KB]
              • hdbfir_core_116.(11).cnf.hdb  [499.00B]
              • cdbfir_core_116.(12).cnf.cdb  [1.85KB]
              • hdbfir_core_116.(12).cnf.hdb  [1.03KB]
              • cdbfir_core_116.(13).cnf.cdb  [1.12KB]
              • hdbfir_core_116.(13).cnf.hdb  [629.00B]
              • cdbfir_core_116.(14).cnf.cdb  [2.09KB]
              • hdbfir_core_116.(14).cnf.hdb  [786.00B]
              • cdbfir_core_116.(15).cnf.cdb  [2.52KB]
              • hdbfir_core_116.(15).cnf.hdb  [736.00B]
              • cdbfir_core_116.(16).cnf.cdb  [866.00B]
              • hdbfir_core_116.(16).cnf.hdb  [533.00B]
              • cdbfir_core_116.(17).cnf.cdb  [728.00B]
              • hdbfir_core_116.(17).cnf.hdb  [443.00B]
              • cdbfir_core_116.(18).cnf.cdb  [869.00B]
              • hdbfir_core_116.(18).cnf.hdb  [533.00B]
              • cdbfir_core_116.(19).cnf.cdb  [9.07KB]
              • hdbfir_core_116.(19).cnf.hdb  [2.63KB]
              • cdbfir_core_116.(20).cnf.cdb  [1.57KB]
              • hdbfir_core_116.(20).cnf.hdb  [774.00B]
              • cdbfir_core_116.(21).cnf.cdb  [215.46KB]
              • hdbfir_core_116.(21).cnf.hdb  [75.32KB]
              • cdbfir_core_116.(22).cnf.cdb  [2.16KB]
              • hdbfir_core_116.(22).cnf.hdb  [822.00B]
              • cdbfir_core_116.(23).cnf.cdb  [1.99KB]
              • hdbfir_core_116.(23).cnf.hdb  [848.00B]
              • cdbfir_core_116.(24).cnf.cdb  [1.49KB]
              • hdbfir_core_116.(24).cnf.hdb  [494.00B]
              • cdbfir_core_116.(25).cnf.cdb  [1.62KB]
              • hdbfir_core_116.(25).cnf.hdb  [494.00B]
              • cdbfir_core_116.(26).cnf.cdb  [1.43KB]
              • hdbfir_core_116.(26).cnf.hdb  [487.00B]
              • cdbfir_core_116.(27).cnf.cdb  [1.53KB]
              • hdbfir_core_116.(27).cnf.hdb  [487.00B]
              • cdbfir_core_116.(28).cnf.cdb  [1.15KB]
              • hdbfir_core_116.(28).cnf.hdb  [490.00B]
              • cdbfir_core_116.(29).cnf.cdb  [1.16KB]
              • hdbfir_core_116.(29).cnf.hdb  [490.00B]
              • cdbfir_core_116.(30).cnf.cdb  [1.45KB]
              • hdbfir_core_116.(30).cnf.hdb  [494.00B]
              • cdbfir_core_116.(31).cnf.cdb  [1.23KB]
              • hdbfir_core_116.(31).cnf.hdb  [500.00B]
              • cdbfir_core_116.(32).cnf.cdb  [1.33KB]
              • hdbfir_core_116.(32).cnf.hdb  [487.00B]
              • cdbfir_core_116.(33).cnf.cdb  [1.25KB]
              • hdbfir_core_116.(33).cnf.hdb  [487.00B]
              • cdbfir_core_116.(34).cnf.cdb  [1.37KB]
              • hdbfir_core_116.(34).cnf.hdb  [487.00B]
              • cdbfir_core_116.(35).cnf.cdb  [1.44KB]
              • hdbfir_core_116.(35).cnf.hdb  [487.00B]
              • cdbfir_core_116.(36).cnf.cdb  [1.44KB]
              • hdbfir_core_116.(36).cnf.hdb  [494.00B]
              • cdbfir_core_116.(37).cnf.cdb  [1.49KB]
              • hdbfir_core_116.(37).cnf.hdb  [494.00B]
              • cdbfir_core_116.(38).cnf.cdb  [1.42KB]
              • hdbfir_core_116.(38).cnf.hdb  [487.00B]
              • cdbfir_core_116.(39).cnf.cdb  [1.38KB]
              • hdbfir_core_116.(39).cnf.hdb  [487.00B]
              • cdbfir_core_116.(40).cnf.cdb  [1.35KB]
              • hdbfir_core_116.(40).cnf.hdb  [494.00B]
              • cdbfir_core_116.(41).cnf.cdb  [1.20KB]
              • hdbfir_core_116.(41).cnf.hdb  [494.00B]
              • cdbfir_core_116.(42).cnf.cdb  [1.65KB]
              • hdbfir_core_116.(42).cnf.hdb  [496.00B]
              • cdbfir_core_116.(43).cnf.cdb  [1.63KB]
              • hdbfir_core_116.(43).cnf.hdb  [496.00B]
              • cdbfir_core_116.(44).cnf.cdb  [1.56KB]
              • hdbfir_core_116.(44).cnf.hdb  [487.00B]
              • cdbfir_core_116.(45).cnf.cdb  [1.53KB]
              • hdbfir_core_116.(45).cnf.hdb  [487.00B]
              • cdbfir_core_116.(46).cnf.cdb  [1.65KB]
              • hdbfir_core_116.(46).cnf.hdb  [496.00B]
              • cdbfir_core_116.(47).cnf.cdb  [1.65KB]
              • hdbfir_core_116.(47).cnf.hdb  [496.00B]
              • cdbfir_core_116.(48).cnf.cdb  [1.62KB]
              • hdbfir_core_116.(48).cnf.hdb  [496.00B]
              • cdbfir_core_116.(49).cnf.cdb  [1.73KB]
              • hdbfir_core_116.(49).cnf.hdb  [496.00B]
              • cdbfir_core_116.(50).cnf.cdb  [1.38KB]
              • hdbfir_core_116.(50).cnf.hdb  [494.00B]
              • cdbfir_core_116.(51).cnf.cdb  [1.19KB]
              • hdbfir_core_116.(51).cnf.hdb  [494.00B]
              • cdbfir_core_116.(52).cnf.cdb  [1.25KB]
              • hdbfir_core_116.(52).cnf.hdb  [506.00B]
              • cdbfir_core_116.(53).cnf.cdb  [1.11KB]
              • hdbfir_core_116.(53).cnf.hdb  [509.00B]
              • cdbfir_core_116.(54).cnf.cdb  [1.53KB]
              • hdbfir_core_116.(54).cnf.hdb  [804.00B]
              • cdbfir_core_116.(55).cnf.cdb  [1.55KB]
              • hdbfir_core_116.(55).cnf.hdb  [807.00B]
              • cdbfir_core_116.(56).cnf.cdb  [1.57KB]
              • hdbfir_core_116.(56).cnf.hdb  [809.00B]
              • cdbfir_core_116.(57).cnf.cdb  [1.60KB]
              • hdbfir_core_116.(57).cnf.hdb  [783.00B]
              • cdbfir_core_116.(58).cnf.cdb  [1.62KB]
              • hdbfir_core_116.(58).cnf.hdb  [803.00B]
              • cdbfir_core_116.(59).cnf.cdb  [1.65KB]
              • hdbfir_core_116.(59).cnf.hdb  [814.00B]
              • cdbfir_core_116.(60).cnf.cdb  [1.67KB]
              • hdbfir_core_116.(60).cnf.hdb  [836.00B]
              • cdbfir_core_116.(61).cnf.cdb  [1.70KB]
              • hdbfir_core_116.(61).cnf.hdb  [846.00B]
              • cdbfir_core_116.(62).cnf.cdb  [1.74KB]
              • hdbfir_core_116.(62).cnf.hdb  [850.00B]
              • cdbfir_core_116.(63).cnf.cdb  [1.04KB]
              • hdbfir_core_116.(63).cnf.hdb  [706.00B]
              • cdbfir_core_116.(64).cnf.cdb  [1.98KB]
              • hdbfir_core_116.(64).cnf.hdb  [706.00B]
              • cdbfir_core_116.(65).cnf.cdb  [718.00B]
              • hdbfir_core_116.(65).cnf.hdb  [776.00B]
              • cdbfir_core_116.(66).cnf.cdb  [421.00B]
              • hdbfir_core_116.(66).cnf.hdb  [612.00B]
              • cdbfir_core_116.(67).cnf.cdb  [467.00B]
              • hdbfir_core_116.(67).cnf.hdb  [443.00B]
              • cdbfir_core_116.(68).cnf.cdb  [467.00B]
              • hdbfir_core_116.(68).cnf.hdb  [442.00B]
              • cdbfir_core_116.(69).cnf.cdb  [421.00B]
              • hdbfir_core_116.(69).cnf.hdb  [612.00B]
              • cdbfir_core_116.(70).cnf.cdb  [866.00B]
              • hdbfir_core_116.(70).cnf.hdb  [916.00B]
              • cdbfir_core_116.(71).cnf.cdb  [719.00B]
              • hdbfir_core_116.(71).cnf.hdb  [776.00B]
              • cdbfir_core_116.(72).cnf.cdb  [1.28KB]
              • hdbfir_core_116.(72).cnf.hdb  [595.00B]
              • cdbfir_core_116.(73).cnf.cdb  [1.32KB]
              • hdbfir_core_116.(73).cnf.hdb  [644.00B]
              • cdbfir_core_116.(74).cnf.cdb  [711.00B]
              • hdbfir_core_116.(74).cnf.hdb  [527.00B]
              • cdbfir_core_116.(75).cnf.cdb  [925.00B]
              • hdbfir_core_116.(75).cnf.hdb  [498.00B]
              • cdbfir_core_116.(76).cnf.cdb  [999.00B]
              • hdbfir_core_116.(76).cnf.hdb  [534.00B]
              • cdbfir_core_116.(77).cnf.cdb  [564.00B]
              • hdbfir_core_116.(77).cnf.hdb  [482.00B]
              • cdbfir_core_116.(78).cnf.cdb  [958.00B]
              • hdbfir_core_116.(78).cnf.hdb  [516.00B]
              • cdbfir_core_116.(79).cnf.cdb  [1.01KB]
              • hdbfir_core_116.(79).cnf.hdb  [540.00B]
              • cdbfir_core_116.(80).cnf.cdb  [800.00B]
              • hdbfir_core_116.(80).cnf.hdb  [475.00B]
              • cdbfir_core_116.(81).cnf.cdb  [842.00B]
              • hdbfir_core_116.(81).cnf.hdb  [515.00B]
              • cdbfir_core_116.(82).cnf.cdb  [470.00B]
              • hdbfir_core_116.(82).cnf.hdb  [444.00B]
              • cdbfir_core_116.(83).cnf.cdb  [1.05KB]
              • hdbfir_core_116.(83).cnf.hdb  [643.00B]
              • cdbfir_core_116.(84).cnf.cdb  [3.93KB]
              • hdbfir_core_116.(84).cnf.hdb  [1.23KB]
              • cdbfir_core_116.(85).cnf.cdb  [1.58KB]
              • hdbfir_core_116.(85).cnf.hdb  [948.00B]
              • cdbfir_core_116.(86).cnf.cdb  [4.34KB]
              • hdbfir_core_116.(86).cnf.hdb  [1.04KB]
              • cdbfir_core_116.(87).cnf.cdb  [3.19KB]
              • hdbfir_core_116.(87).cnf.hdb  [895.00B]
              • cdbfir_core_116.(88).cnf.cdb  [1.66KB]
              • hdbfir_core_116.(88).cnf.hdb  [712.00B]
              • cdbfir_core_116.(89).cnf.cdb  [4.41KB]
              • hdbfir_core_116.(89).cnf.hdb  [1.02KB]
              • cdbfir_core_116.(90).cnf.cdb  [8.28KB]
              • hdbfir_core_116.(90).cnf.hdb  [2.68KB]
              • cdbfir_core_116.(91).cnf.cdb  [2.65KB]
              • hdbfir_core_116.(91).cnf.hdb  [702.00B]
              • cdbfir_core_116.(92).cnf.cdb  [2.31KB]
              • hdbfir_core_116.(92).cnf.hdb  [632.00B]
              • cdbfir_core_116.(93).cnf.cdb  [886.00B]
              • hdbfir_core_116.(93).cnf.hdb  [487.00B]
              • cdbfir_core_116.(94).cnf.cdb  [2.11KB]
              • hdbfir_core_116.(94).cnf.hdb  [1.03KB]
              • cdbfir_core_116.(95).cnf.cdb  [635.00B]
              • hdbfir_core_116.(95).cnf.hdb  [520.00B]
              • cdbfir_core_116.(96).cnf.cdb  [931.00B]
              • hdbfir_core_116.(96).cnf.hdb  [589.00B]
              • cdbfir_core_116.(97).cnf.cdb  [873.00B]
              • hdbfir_core_116.(97).cnf.hdb  [579.00B]
              • cdbfir_core_116.(98).cnf.cdb  [4.73KB]
              • hdbfir_core_116.(98).cnf.hdb  [1.03KB]
              • qmsgfir_core_116.asm.qmsg  [5.05KB]
              • ddbfir_core_116.asm_labs.ddb  [120.58KB]
              • xmlfir_core_116.cbx.xml  [2.16KB]
              • bpmfir_core_116.cmp.bpm  [8.55KB]
              • cdbfir_core_116.cmp.cdb  [1.50MB]
              • ecobpfir_core_116.cmp.ecobp  [113.00B]
              • hdbfir_core_116.cmp.hdb  [170.21KB]
              • logdbfir_core_116.cmp.logdb  [4.00B]
              • rdbfir_core_116.cmp.rdb  [60.64KB]
              • ddbfir_core_116.cmp0.ddb  [3.24MB]
              • ddbfir_core_116.cmp1.ddb  [3.23MB]
              • db_infofir_core_116.db_info  [137.00B]
              • qmsgfir_core_116.fit.qmsg  [111.59KB]
              • hier_infofir_core_116.hier_info  [1.93MB]
              • hiffir_core_116.hif  [236.96KB]
              • xmlfir_core_116.jvgen_cache.xml  [3.61KB]
              • bpmfir_core_116.map.bpm  [8.20KB]
              • cdbfir_core_116.map.cdb  [301.93KB]
              • ecobpfir_core_116.map.ecobp  [113.00B]
              • hdbfir_core_116.map.hdb  [164.10KB]
              • logdbfir_core_116.map.logdb  [4.00B]
              • qmsgfir_core_116.map.qmsg  [209.24KB]
              • cdbfir_core_116.map_bb.cdb  [880.00B]
              • hdbfir_core_116.map_bb.hdb  [25.01KB]
              • hdbxfir_core_116.map_bb.hdbx  [28.20KB]
              • logdbfir_core_116.map_bb.logdb  [4.00B]
              • atmfir_core_116.nabbo_fd801ef9486a30386794de538b6d4a1.cmp.atm  [22.40KB]
              • dfpfir_core_116.nabbo_fd801ef9486a30386794de538b6d4a1.cmp.dfp  [33.00B]
              • hdbxfir_core_116.nabbo_fd801ef9486a30386794de538b6d4a1.cmp.hdbx  [9.43KB]
              • logdbfir_core_116.nabbo_fd801ef9486a30386794de538b6d4a1.cmp.logdb  [4.00B]
              • atmfir_core_116.nabbo_fd801ef9486a30386794de538b6d4a1.map.atm  [18.99KB]
              • hdbxfir_core_116.nabbo_fd801ef9486a30386794de538b6d4a1.map.hdbx  [9.07KB]
              • logdbfir_core_116.nabbo_fd801ef9486a30386794de538b6d4a1.map.logdb  [4.00B]
              • cdbfir_core_116.pre_map.cdb  [554.74KB]
              • hdbfir_core_116.pre_map.hdb  [204.26KB]
              • Paint Shop Pro图像文件fir_core_116.psp  [353.00B]
              • atmfir_core_116.root_partition.cmp.atm  [1.04MB]
              • CotdFusion模板文件;Visual dBASE Windows用户定制表单fir_core_116.root_partition.cmp.cfm  [654.00B]
              • dfpfir_core_116.root_partition.cmp.dfp  [33.00B]
              • hdbxfir_core_116.root_partition.cmp.hdbx  [161.02KB]
              • logdbfir_core_116.root_partition.cmp.logdb  [4.00B]
              • rcffir_core_116.root_partition.cmp.rcf  [400.28KB]
              • atmfir_core_116.root_partition.map.atm  [882.76KB]
              • CotdFusion模板文件;Visual dBASE Windows用户定制表单fir_core_116.root_partition.map.cfm  [654.00B]
              • hdbxfir_core_116.root_partition.map.hdbx  [154.45KB]
              • infofir_core_116.root_partition.map.info  [46.50KB]
              • hdbfir_core_116.rtlv.hdb  [203.12KB]
              • cdbfir_core_116.rtlv_sg.cdb  [290.89KB]
              • cdbfir_core_116.rtlv_sg_swap.cdb  [99.69KB]
              • cdbfir_core_116.sgdiff.cdb  [528.19KB]
              • hdbfir_core_116.sgdiff.hdb  [241.83KB]
              • cdbfir_core_116.signalprobe.cdb  [376.00B]
              • atmfir_core_116.sink_4aa3db748ab0454b7831931667f86af71.cmp.atm  [42.07KB]
              • dfpfir_core_116.sink_4aa3db748ab0454b7831931667f86af71.cmp.dfp  [33.00B]
              • hdbxfir_core_116.sink_4aa3db748ab0454b7831931667f86af71.cmp.hdbx  [12.82KB]
              • logdbfir_core_116.sink_4aa3db748ab0454b7831931667f86af71.cmp.logdb  [4.00B]
              • atmfir_core_116.sink_4aa3db748ab0454b7831931667f86af71.map.atm  [30.30KB]
              • hdbxfir_core_116.sink_4aa3db748ab0454b7831931667f86af71.map.hdbx  [11.70KB]
              • infofir_core_116.sink_4aa3db748ab0454b7831931667f86af71.map.info  [4.12KB]
              • logdbfir_core_116.sink_4aa3db748ab0454b7831931667f86af71.map.logdb  [4.00B]
              • ScanVec Inspire本地文件格式fir_core_116.sld_design_entry_dsc.sci  [324.00B]
              • atmfir_core_116.sldhu_30e344a040fd07e1533c49de5f2d67d1.cmp.atm  [22.68KB]
              • dfpfir_core_116.sldhu_30e344a040fd07e1533c49de5f2d67d1.cmp.dfp  [33.00B]
              • hdbxfir_core_116.sldhu_30e344a040fd07e1533c49de5f2d67d1.cmp.hdbx  [10.13KB]
              • logdbfir_core_116.sldhu_30e344a040fd07e1533c49de5f2d67d1.cmp.logdb  [4.00B]
              • atmfir_core_116.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.atm  [19.34KB]
              • hdbxfir_core_116.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.hdbx  [9.76KB]
              • logdbfir_core_116.sldhu_30e344a040fd07e1533c49de5f2d67d1.map.logdb  [4.00B]
              • 文本文档fir_core_116.smp_dump.txt  [1.93KB]
              • qmsgfir_core_116.sta.qmsg  [41.25KB]
              • rdbfir_core_116.sta.rdb  [6.18KB]
              • Thumbs Plus数据库fir_core_116.sta_cmp.6_slow.tdb  [1011.88KB]
              • syn_hier_infofir_core_116.syn_hier_info  [0.00B]
              • ddbfir_core_116.tis_db_list.ddb  [174.00B]
              • atmfir_core_116.umtl_72b0339f11762254d5d08b8cc7ffe1261.cmp.atm  [9.35KB]
              • dfpfir_core_116.umtl_72b0339f11762254d5d08b8cc7ffe1261.cmp.dfp  [33.00B]
              • hdbxfir_core_116.umtl_72b0339f11762254d5d08b8cc7ffe1261.cmp.hdbx  [6.84KB]
              • logdbfir_core_116.umtl_72b0339f11762254d5d08b8cc7ffe1261.cmp.logdb  [4.00B]
              • atmfir_core_116.umtl_72b0339f11762254d5d08b8cc7ffe1261.map.atm  [8.63KB]
              • hdbxfir_core_116.umtl_72b0339f11762254d5d08b8cc7ffe1261.map.hdbx  [6.71KB]
              • infofir_core_116.umtl_72b0339f11762254d5d08b8cc7ffe1261.map.info  [570.00B]
              • logdbfir_core_116.umtl_72b0339f11762254d5d08b8cc7ffe1261.map.logdb  [4.00B]
              • vhdfir_core_116.vhd  [1.48KB]
              • vhdfir_core_116_GN.vhd  [9.50KB]
              • tdfmux_doc.tdf  [3.88KB]
              • tdfmux_goc.tdf  [9.01KB]
            • ip
              • fir_core_116
                • qipfir_compiler_v8_0.qip  [2.71KB]
                • entityimportfir_compiler_v8_0_import.entityimport  [1.78KB]
                • ipxfir_core_116.ipx  [62.00B]
            • reports
              • fir_core_116
                • vhdfir_core_116_example.vhd  [1.03KB]
            • Microsoft Visual Basic Crystal报表文件fir_core_116.asm.rpt  [8.79KB]
            • Microsoft Visual Basic Crystal报表文件fir_core_116.fit.rpt  [587.02KB]
            • smsgfir_core_116.fit.smsg  [513.00B]
            • summaryfir_core_116.fit.summary  [622.00B]
            • Microsoft Visual Basic Crystal报表文件fir_core_116.flow.rpt  [9.34KB]
            • jdifir_core_116.jdi  [227.00B]
            • Microsoft Visual Basic Crystal报表文件fir_core_116.map.rpt  [2.85MB]
            • summaryfir_core_116.map.summary  [477.00B]
            • Epic Pinball数据文件fir_core_116.pin  [32.23KB]
            • qpffir_core_116.qpf  [916.00B]
            • qsffir_core_116.qsf  [2.06KB]
            • sdcfir_core_116.sdc  [73.00B]
            • Microsoft Visual Basic Crystal报表文件fir_core_116.sta.rpt  [114.13KB]
            • summaryfir_core_116.sta.summary  [486.00B]
            • soffir_core_116_time_limited.sof  [464.60KB]
          • vAUDIO_DAC.v  [8.83KB]
          • 备份文件AUDIO_DAC.v.bak  [8.81KB]
          • Microsoft Visual Basic Crystal报表文件DE2_70_Default.asm.rpt  [7.02KB]
          • doneDE2_70_Default.done  [26.00B]
          • dpfDE2_70_Default.dpf  [239.00B]
          • Microsoft Visual Basic Crystal报表文件DE2_70_Default.fit.rpt  [1.15MB]
          • smsgDE2_70_Default.fit.smsg  [513.00B]
          • summaryDE2_70_Default.fit.summary  [636.00B]
          • Microsoft Visual Basic Crystal报表文件DE2_70_Default.flow.rpt  [10.09KB]
          • jdiDE2_70_Default.jdi  [227.00B]
          • Microsoft Visual Basic Crystal报表文件DE2_70_Default.map.rpt  [3.24MB]
          • smsgDE2_70_Default.map.smsg  [413.00B]
          • summaryDE2_70_Default.map.summary  [488.00B]
          • Epic Pinball数据文件DE2_70_Default.pin  [101.61KB]
          • pofDE2_70_Default.pof  [2.00MB]
          • qpfDE2_70_Default.qpf  [918.00B]
          • qsfDE2_70_Default.qsf  [30.09KB]
          • qwsDE2_70_Default.qws  [90.00B]
          • sofDE2_70_Default.sof  [1.69MB]
          • Microsoft Visual Basic Crystal报表文件DE2_70_Default.tan.rpt  [1.15MB]
          • summaryDE2_70_Default.tan.summary  [6.71KB]
          • vDE2_70_Default.v  [26.94KB]
          • 备份文件DE2_70_Default.v.bak  [26.93KB]
          • qdfDE2_70_Default_assignment_defaults.qdf  [47.58KB]
          • sofDE2_70_Default_time_limited.sof  [1.69MB]
          • vDE2_70_flash_word_tester.v  [4.77KB]
          • C程序头文件Flash_Command.h  [282.00B]
          • vFlash_Controller.v  [7.73KB]
          • vLCD.V  [3.96KB]
          • vLCD_Controller.v  [1.44KB]
          • vLCD_TEST.v  [3.09KB]
          • vReset_Delay.v  [233.00B]
          • vVGA_Audio_PLL.v  [16.87KB]
          • vflash_default_tester.v  [4.04KB]
          • vflash_writer.v  [2.99KB]
          • vold_I2C_AV_Config.v  [4.30KB]
          • 备份文件old_I2C_AV_Config.v.bak  [4.25KB]
          • vold_I2C_Controller.v  [3.79KB]
          • vold_Reset_Delay.v  [233.00B]
          • vold_SEG7_LUT.v  [705.00B]
          • vold_SEG7_LUT_8.v  [458.00B]
      • Microsoft Word文档1091000032-刘旭.doc  [1.65MB]
      • Adobe Acrobat可导出文档格式文件1091000032_成绩单.pdf  [2.43KB]
      • Adobe Acrobat可导出文档格式文件1091000032_答辩记录单.pdf  [2.47KB]
      • Adobe Acrobat可导出文档格式文件1091000032_进度报告.pdf  [9.50KB]
      • Adobe Acrobat可导出文档格式文件1091000032_开题报告.pdf  [4.63KB]
      • Adobe Acrobat可导出文档格式文件1091000032_中期检查表.pdf  [3.49KB]
下载地址
资料评论
注意事项
下载FAQ:
Q: 为什么我下载的文件打不开?
A: 本站所有资源如无特殊说明,解压密码都是www.xuehai.net,如果无法解压,请下载最新的WinRAR软件。
Q: 我的学海币不多了,如何获取学海币?
A: 上传优质资源可以获取学海币,详细见学海币规则
Q: 为什么我下载不了,但学海币却被扣了?
A: 由于下载人数众多,下载服务器做了并发的限制。请稍后再试,48小时内多次下载不会重复扣学海币。
下载本文件意味着您已经同意遵守以下协议
1. 文件的所有权益归上传用户所有。
2. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
3. 学海网仅提供交流平台,并不能对任何下载内容负责。
4. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
5. 本站不保证提供的下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
返回顶部