您现在正在浏览:首页 > 教案 > 计算机 > Quartus® II教程

免费下载Quartus® II教程

  • 资源类别:教案
  • 资源分类:计算机
  • 适用专业:Quartus® II
  • 适用年级:不限
  • 上传用户:sunflooower
  • 文件格式:pdf
  • 文件大小:1.98MB
  • 上传时间:2010-6-22 9:06:14
  • 下载次数:16
  • 浏览次数:164

安全检测:瑞星:安全 诺顿:安全 卡巴:安全

资料简介
Quartus® II教程
前言..................................................................................6
文档编制约定.....................................................................7
第1 章: 设计流程............................................................9
引言........................................................................... 10
图形用户界面设计流程........................................................... 11
EDA 工具设计流程................................................................ 17
命令行设计流程....................................................................22
命令行可执行文件.........................................................23
使用标准命令行命令和脚本...................................................................27
使用Tcl 命令............................................................30
建立Makefile 脚本...............................................................33
设计方法和设计规划.............................................................35
自上而下与自下而上的设计方法比较.....................................................35
自上而下渐进式编译设计流程...............................................................36
自下而上的渐进式编译流程...................................................................37
第2 章: 设计输入........................................................38
引言.............................................................................39
建立工程.......................................................................40
使用修订............................................................... 41
使用版本兼容的数据库............................................44
转换MAX+PLUS II 工程.............................................45
建立设计........................................................................46
使用Quartus II 模块编辑器.......................................................47
使用Quartus II 文本编辑.................................48
使用Quartus II 符号编辑器.....................................................48
使用Verilog HDL、VHDL 以及AHDL....................................................49
使用Altera 宏功能.....................................................50
使用知识产权(IP) 宏功能......................................................... 51
使用MegaWizard 插件管理器...............................................................52
在Quartus II 软件中例化宏功能.............................................................53
在Verilog HDL 和VHDL 中例化...............................................53
使用端口和参数定义.................................................................53
推断宏功能...............................................................................53
在EDA 工具中例化宏功能.....................................................................54
使用Black-Box 方法..................................................................54
按推断进行例化...........................................................54
使用Clear-Box 方法......................................................55
第3 章: 约束输入...............................................58
引言...............................................................59
使用分配编辑器...............................................................60
使用引脚规划器..................................................... 61
Settings 对话框....................................................63
分配设计分区.......................................................64
在Project Navigator 中分配设计分区................................................64
目录
目录
2 ■ QUARTUS II 简介ALTERA 公司
采用Design Partitions 窗口分配设计分区............................................. 64
导入分配............................................................... 66
验证引脚分配............................................................... 67
第4 章: 综合.................................................... 69
引言................................................................... 70
使用Quartus II Verilog HDL 和VHDL 集成综合........................... 71
使用其他EDA 综合工具..................................................... 73
控制Analysis & Synthesis ...................................................... 76
使用Compiler 指令和属性.............................................................. 76
使用Quartus II 逻辑选项........................................................ 77
使用Quartus II 综合网表优化选项........................................................ 79
使用设计助手检查设计可靠性................................................ 79
使用RTL 查看器和状态机查看器分析综合结果............................ 81
RTL 查看器.............................................................. 81
状态机查看器...................................................... 83
采用技术映射查看器分析综合结果........................................... 85
进行渐进式综合................................................................... 87
第5 章: 布局布线..................................................... 90
引言.................................................................... 91
进行完整的渐进式编译.............................................. 92
分析适配结果......................................................... 93
使用Messages 窗口查看适配结果.......................................................... 94
使用Report 窗口或Report 文件查看适配结果...................................... 95
使用时序逼近平面布局图来分析结果.................................................... 97
使用设计助手检查设计的可靠性........................................................... 99
优化适配....................................................................... 99
使用位置分配.......................................................... 99
设置用于控制布局布线的选项.............................................................. 100
设置Fitter 选项....................................................................... 100
设置物理综合优化选项............................................................ 100
设置影响适配的每个逻辑选项................................................. 101
使用资源优化向导........................................................ 101
使用设计空间管理器..................................................... 104
通过反标保留分配............................................................... 108
第6 章: 基于模块的设计................................................112
引言.........................................................113
Quartus II 基于模块的设计流程...........................................113
使用LogicLock 区域.....................................................114
在自上而下渐进式编译流程中使用LogicLock 区域.............................................117
自下而上设计流程中导入导出分区..............................................118
为自下而上的渐进式编译方法准备顶层设计..........................................118
导出分区,在顶层工程中使用...............................................................119
将底层分区导入到顶层工程中...............................................................119
目录
ALTERA 公司QUARTUS II 简介■ 3
第7 章: 仿真.................................................... 120
引言..................................................
资料文件预览
共1文件夹,1个文件,文件总大小:2.63MB,压缩后大小:1.98MB
  • Quartus® II教程
    • Adobe Acrobat可导出文档格式文件quartus_II教程.pdf  [2.63MB]
下载地址
资料评论
注意事项
下载FAQ:
Q: 为什么我下载的文件打不开?
A: 本站所有资源如无特殊说明,解压密码都是www.xuehai.net,如果无法解压,请下载最新的WinRAR软件。
Q: 我的学海币不多了,如何获取学海币?
A: 上传优质资源可以获取学海币,详细见学海币规则
Q: 为什么我下载不了,但学海币却被扣了?
A: 由于下载人数众多,下载服务器做了并发的限制。请稍后再试,48小时内多次下载不会重复扣学海币。
下载本文件意味着您已经同意遵守以下协议
1. 文件的所有权益归上传用户所有。
2. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
3. 学海网仅提供交流平台,并不能对任何下载内容负责。
4. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
5. 本站不保证提供的下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
返回顶部