您现在正在浏览:首页 > 论文 > 电子电工 > 毕业设计-基于FPGA的电子时钟设计

免费下载毕业设计-基于FPGA的电子时钟设计

  • 资源类别:论文
  • 资源分类:电子电工
  • 适用专业:应用电子技术
  • 适用年级:大学
  • 上传用户:L梧O桐V树E
  • 文件格式:word
  • 文件大小:587.43KB
  • 上传时间:2009-12-20 20:45:11
  • 下载次数:0
  • 浏览次数:193

安全检测:瑞星:安全 诺顿:安全 卡巴:安全

资料简介
吉首大学毕业设计 基于FPGA的电子时钟设计,共35页,11169字。
摘要
本文设计的是基于FPGA的电子时钟,该设计用VHDL语言编程,通过FPGA及外围电路实现时、分、秒计数显示,根据需要设置复位、清零、置位等功能,最后通过仿真来验证设计的正确性。系统设计采用自顶向下设计方法,由时钟分频模块、计时模块、按键模块和显示模块四个部分组成。它采用了石英晶体振荡器,走时精度高,稳定性好,使用方便,不需要经常校对,给人们生产生活带来了极大的方便。
关键词:VHDL;FPGA;电子时钟;七段显示器;时序图。

目录
第一章 绪论 3
1.1 电子时钟的国内外研究动态 3
1.1.1 国内研究动态 3
1.1.2 国外研究动态 3
1.2 电子时钟的地位及作用 4
1.3 FPGA与VHDL语言 4
1.3.1 FPGA的概述及特点 4
1.3.2 VHDL的概述及特点 5
1.4 本文电子时钟的实现方法 5
第二章 电子时钟系统结构 7
2.1 电子时钟的设计要求 7
2.2 石英晶体振荡器 7
2.2.1 石英晶体振荡器的结构 7
2.2.2 石英晶体振荡器的基本工作过程 7
2.2.3 石英晶体振荡器的参数及型号选择 8
第三章 电子时钟的设计 9
3.1 电子时钟的顶层设计 9
3.1.1 顶层设计分析 9
3.1.2 电子时钟顶层VHDL设计 10
3.2 电子时钟的底层设计 12
3.2.1 时钟分频模块 12
3.2.2 计时模块 13
3.2.3 按键模块 20
3.2.4 显示模块 21
第四章  结束语 29
参考文献 30
致谢 31
资料文件预览
共1文件夹,1个文件,文件总大小:12.31MB,压缩后大小:587.43KB
  • 毕业设计-基于FPGA的电子时钟设计
    • Microsoft Word文档基于FPGA的电子时钟设计 盖淑琴.doc  [12.31MB]
下载地址
资料评论
注意事项
下载FAQ:
Q: 为什么我下载的文件打不开?
A: 本站所有资源如无特殊说明,解压密码都是www.xuehai.net,如果无法解压,请下载最新的WinRAR软件。
Q: 我的学海币不多了,如何获取学海币?
A: 上传优质资源可以获取学海币,详细见学海币规则
Q: 为什么我下载不了,但学海币却被扣了?
A: 由于下载人数众多,下载服务器做了并发的限制。请稍后再试,48小时内多次下载不会重复扣学海币。
下载本文件意味着您已经同意遵守以下协议
1. 文件的所有权益归上传用户所有。
2. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
3. 学海网仅提供交流平台,并不能对任何下载内容负责。
4. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
5. 本站不保证提供的下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
返回顶部