您现在正在浏览:首页 > 论文 > 文化课 > A Verilog HDL Test Bench Primer电子书

免费下载A Verilog HDL Test Bench Primer电子书

  • 资源类别:论文
  • 资源分类:文化课
  • 适用专业:A Verilog HDL Test Bench Primer
  • 适用年级:大学
  • 上传用户:www73654
  • 文件格式:pdf
  • 文件大小:59.31KB
  • 上传时间:2010-6-5 13:48:48
  • 下载次数:9
  • 浏览次数:96

安全检测:瑞星:安全 诺顿:安全 卡巴:安全

资料简介
A Verilog HDL Test Bench Primer电子书
Table of Contents
Introduction...........................................................................................................1
Overview...............................................................................................................1
The Device Under Test (D.U.T.) ...........................................................................1
The Test Bench ....................................................................................................1
Instantiations.........................................................................................................2
Figure 1- DUT Instantiation.............................................................................................2
Reg and Wire Declarations...................................................................................2
Figure 2 – Reg and Wire Declarations.............................................................................3
Initial and Always Blocks.......................................................................................3
Figure 3 – An Initial Block Example...............................................................................3
Figure 4 – An Always Block Example ............................................................................4
Initialization .....................................................................................................................4
Delays...............................................................................................................................4
Clocks and Resets ............................................................................................................4
Assign Statements................................................................................................4
Figure 5- An Assign Example..........................................................................................5
Printing during Simulations ...................................................................................5
$display............................................................................................................................5
Figure 6- $display Example .............................................................................................5
$monitor ...........................................................................................................................5
......
资料文件预览
共1文件夹,1个文件,文件总大小:63.94KB,压缩后大小:59.31KB
  • A Verilog HDL Test Bench Primer电子书
    • Adobe Acrobat可导出文档格式文件A Verilog HDL Test Bench Primer.pdf  [63.94KB]
下载地址
资料评论
注意事项
下载FAQ:
Q: 为什么我下载的文件打不开?
A: 本站所有资源如无特殊说明,解压密码都是www.xuehai.net,如果无法解压,请下载最新的WinRAR软件。
Q: 我的学海币不多了,如何获取学海币?
A: 上传优质资源可以获取学海币,详细见学海币规则
Q: 为什么我下载不了,但学海币却被扣了?
A: 由于下载人数众多,下载服务器做了并发的限制。请稍后再试,48小时内多次下载不会重复扣学海币。
下载本文件意味着您已经同意遵守以下协议
1. 文件的所有权益归上传用户所有。
2. 未经权益所有人同意不得将文件中的内容挪作商业或盈利用途。
3. 学海网仅提供交流平台,并不能对任何下载内容负责。
4. 下载文件中如有侵权或不适当内容,请与我们联系,我们立即纠正。
5. 本站不保证提供的下载资源的准确性、安全性和完整性, 同时也不承担用户因使用这些下载资源对自己和他人造成任何形式的伤害或损失。
论文相关下载
返回顶部