您现在正在浏览:首页 > 职教文章 > 职教论文 > VHDL的数控分频器设计及应用

VHDL的数控分频器设计及应用

日期: 2010-4-24 20:33:59 浏览: 16 来源: 学海网收集整理 作者: 佚名

摘要:数控分频器的输出信号频率为输入数据的函数。用传统的方法设计 ,其设计过程和电路都比较复杂 ,且设计成果的可修改性和可移植性都较差。基于 VHDL 的数控分频器设计 ,整个过程简单、 快捷 ,极易修改 ,可移植性强。他可利用并行预置数的加法计数器和减法计数器实现。广泛应用于电子仪器、 乐器等数字电子系统中。
   关键词:数控分频器;V HDL 设计;计数器;信号
   1 引言
   数控分频器的功能是在输入端输入不同数据时,对输入时钟产生不同的分频比,使输出信号的频率为输入数据的函数。他可广泛应用于数字电子系统中。用传统的设计方法设计数控分频器时,采用具有预置数据输入端的通用计数器芯片,按设计要求完成设计,其设计过程和电路都比较复杂,尤其是当分频系数比较大时,需用多片集成计数器和设计更复杂的控制电路来实现,且设计成果的可修改性和可移植性都较差。
   基于 VHDL 的数控分频器设计,采用用软件的方法设计硬件的 EDA (电子设计自动化)技术。作为 EDA 技术重要组成部分的硬件描述语言,V HDL 是一种 IEEE工业标准的建模语言。由于 VHDL 具有很强的电路行为描述、 系统描述能力和层次化的程序结构,用他设计的数控分频器作为一个模块,可移植到很多数字电路系统中,且极易修改,只要修改程序中的某几条语句,就可使最大分频系数得到改变。整个设计过程简单、 快捷。另外由于VHDL 具有与硬件和设计平台无关的特点,设计结果可通过众多 EDA 平台下载到各种CPLD或 FPGA 可编程器件中,实现单片化的数字电路,使得工作稳定、可靠。
   ......

返回顶部